Verilog 为什么实例化模块经常被命名为“网络名称”;u";?

Verilog 为什么实例化模块经常被命名为“网络名称”;u";?,verilog,naming-conventions,terminology,hdl,Verilog,Naming Conventions,Terminology,Hdl,在工业和许多教程中,例如(计数器U(clk、enable、reset、data);)和(加法器U(结果、进位、r1、r2、ci);),模块通常被称为U,或者被赋予前缀/后缀U。我一直接受这个,但我不确定它代表什么 这是什么意思?我在网上找不到任何信息,这对谷歌来说是一件棘手的事情 编辑:我意识到这不是一个明确的编程问题,但也有术语和命名约定的标记,因此我认为提出这个问题是合适的。这被标记为“基于意见”,我当然不认为是这样 这来自IEEE/ASME标准,该标准用于命名印刷电路板上的元件(参考标识符

在工业和许多教程中,例如(
计数器U(clk、enable、reset、data);
)和(
加法器U(结果、进位、r1、r2、ci);
),模块通常被称为
U
,或者被赋予前缀/后缀
U
。我一直接受这个,但我不确定它代表什么

这是什么意思?我在网上找不到任何信息,这对谷歌来说是一件棘手的事情


编辑:我意识到这不是一个明确的编程问题,但也有术语和命名约定的标记,因此我认为提出这个问题是合适的。这被标记为“基于意见”,我当然不认为是这样

这来自IEEE/ASME标准,该标准用于命名印刷电路板上的元件(参考标识符):R电阻器、C电容器、U集成电路。我假设与许多其他标准一样,IO没有使用,因为它们太容易与10混淆

随着示意图逐渐从PCB发展到IC,这种命名惯例一直存在


参见和

这来自IEEE/ASME标准,用于命名印刷电路板上的元件(参考标识符):R电阻器、C电容器、U集成电路。我假设与许多其他标准一样,IO没有使用,因为它们太容易与10混淆

随着示意图逐渐从PCB发展到IC,这种命名惯例一直存在


参见和

U背后的含义当然不是基于意见,但使用它的选择可能是:微笑:U背后的含义当然不是基于意见,但使用它的选择可能是:微笑:谢谢!这个答案解释了为什么它是
U
,你的信息有助于深入了解
U
代表什么
U
代表规范中的“不可分离组件、集成电路封装、微电路、微模块、光子耦合隔离器”中的任何一种。似乎存在争议
U
是否代表“不可修复”或“未指定”。然而,这采取了“不可修复”的立场,所以我倾向于相信这一点。谢谢!这个答案解释了为什么它是
U
,你的信息有助于深入了解
U
代表什么
U
代表规范中的“不可分离组件、集成电路封装、微电路、微模块、光子耦合隔离器”中的任何一种。似乎存在争议
U
是否代表“不可修复”或“未指定”。然而,这采取了“不可修复”的立场,所以我倾向于相信这一点。