Warning: file_get_contents(/data/phpspider/zhask/data//catemap/2/scala/18.json): failed to open stream: No such file or directory in /data/phpspider/zhask/libs/function.php on line 167

Warning: Invalid argument supplied for foreach() in /data/phpspider/zhask/libs/tag.function.php on line 1116

Notice: Undefined index: in /data/phpspider/zhask/libs/function.php on line 180

Warning: array_chunk() expects parameter 1 to be array, null given in /data/phpspider/zhask/libs/function.php on line 181
Verilog 是否使用连接语句指定localparam?_Verilog - Fatal编程技术网

Verilog 是否使用连接语句指定localparam?

Verilog 是否使用连接语句指定localparam?,verilog,Verilog,这就是我想做的 localparam nine1 = {3{4'b0000}, 4'b1001}; localparam nine2 = {2{4'b0000}, 2{nine1}}; 是否可以使用concat语句分配localparam,然后在另一个localparam分配中使用该localparam?这似乎是可行的,但我可能把事情弄糟了。顺便说一句,这不是system verilog。嗯,错误在于我没有将我的复制语句包装在{}内的串联中 localparam nine1 = {{3{4'b

这就是我想做的

localparam nine1 = {3{4'b0000}, 4'b1001};
localparam nine2 = {2{4'b0000}, 2{nine1}};

是否可以使用concat语句分配
localparam
,然后在另一个
localparam
分配中使用该
localparam
?这似乎是可行的,但我可能把事情弄糟了。顺便说一句,这不是system verilog。

嗯,错误在于我没有将我的
复制语句
包装在
{}
内的
串联中

localparam nine1 = {{3{4'b0000}}, 4'b1001};
localparam nine2 = {{2{4'b0000}}, {2{nine1}}};
微妙但重要