SVA(SystemVerilog断言)$assertoff和$assertkill之间的差异?

SVA(SystemVerilog断言)$assertoff和$assertkill之间的差异?,verilog,system-verilog,system-verilog-assertions,Verilog,System Verilog,System Verilog Assertions,对于SystemVerilog断言,$assertoff和$assertkill 它们似乎都停止了断言的执行。$assertoff将停止断言,但已处于活动状态的断言除外 断言一旦触发并等待移动到“失败”或“完成”时即处于活动状态$assertoff不会停止或杀死处于活动状态的断言 $assertkill将停止所有断言,并将所有断言移到关闭状态 来自Cadence帮助: $assertoff 在遇到$asserton之前,暂停检查所有指定的断言。断言 已经在执行的,包括断言操作块,将继续执行 $a

对于SystemVerilog断言,
$assertoff
$assertkill


它们似乎都停止了断言的执行。

$assertoff
将停止断言,但已处于活动状态的断言除外

断言一旦触发并等待移动到“失败”或“完成”时即处于活动状态
$assertoff
不会停止或杀死处于活动状态的断言

$assertkill
将停止所有断言,并将所有断言移到关闭状态

来自Cadence帮助:

$assertoff
在遇到$asserton之前,暂停检查所有指定的断言。断言 已经在执行的,包括断言操作块,将继续执行

$assertkill
停止检查当前正在执行的所有指定断言,然后暂停检查所有指定断言,直到遇到$asserton。
NB:根据IEEE 1800 SystemVerilog标准,$assertkill任务由于调度问题对即时断言和非暂时并发断言没有影响


$assertoff
将停止断言,但已处于活动状态的断言除外

断言一旦触发并等待移动到“失败”或“完成”时即处于活动状态
$assertoff
不会停止或杀死处于活动状态的断言

$assertkill
将停止所有断言,并将所有断言移到关闭状态

来自Cadence帮助:

$assertoff
在遇到$asserton之前,暂停检查所有指定的断言。断言 已经在执行的,包括断言操作块,将继续执行

$assertkill
停止检查当前正在执行的所有指定断言,然后暂停检查所有指定断言,直到遇到$asserton。
NB:根据IEEE 1800 SystemVerilog标准,$assertkill任务由于调度问题对即时断言和非暂时并发断言没有影响


$assertoff什么都不是,但它会停止检查所有指定的断言,除非并且直到启用$assert的sunsequent


在对断言进行u处理时,不会影响我的断言是通过还是失败。

$assertoff什么都不是,但它会停止检查所有指定的断言,除非并直到启用$assert的sunsequent

在对断言进行u处理时,不会影响我的断言是通过还是失败