Verilog 我可以将$URADOM\u范围与时间变量一起使用吗?

Verilog 我可以将$URADOM\u范围与时间变量一起使用吗?,verilog,verification,system-verilog,Verilog,Verification,System Verilog,我想知道我是否可以简单地写: time time_var; time_var = $urandom_range (10ms, 7ms); 我已经尝试直接使用它,并且没有发出错误/警告。 但是,返回值不在7-10ms之间。 我想在时间文本中使用$uradom\u range是合法的,因为我没有收到任何错误。但是,为什么我不能在适当的范围内得到一个值呢?IEEE标准(1800-2009)将$uradom_range的参数声明为int unsigned类型,这与time不同。我认为,即使您没有从

我想知道我是否可以简单地写:

 time time_var;
 time_var = $urandom_range (10ms, 7ms);
我已经尝试直接使用它,并且没有发出错误/警告。 但是,返回值不在7-10ms之间。 我想在时间文本中使用
$uradom\u range
是合法的,因为我没有收到任何错误。但是,为什么我不能在适当的范围内得到一个值呢?

IEEE标准(1800-2009)将
$uradom_range
的参数声明为
int unsigned
类型,这与
time
不同。我认为,即使您没有从模拟器中获得错误或警告,您也无法依靠系统功能来实现可预测的行为

这是VCS中的一个编译错误,也是一个尖锐的警告

你能用这样的东西吗

int unsigned del = $urandom_range(10, 7);
#(1ms * del);