Warning: file_get_contents(/data/phpspider/zhask/data//catemap/2/csharp/318.json): failed to open stream: No such file or directory in /data/phpspider/zhask/libs/function.php on line 167

Warning: Invalid argument supplied for foreach() in /data/phpspider/zhask/libs/tag.function.php on line 1116

Notice: Undefined index: in /data/phpspider/zhask/libs/function.php on line 180

Warning: array_chunk() expects parameter 1 to be array, null given in /data/phpspider/zhask/libs/function.php on line 181
Verilog 在测试台上显示信号名称/文字_Verilog - Fatal编程技术网

Verilog 在测试台上显示信号名称/文字

Verilog 在测试台上显示信号名称/文字,verilog,Verilog,是否可以在Verilog中引用/显示信号的名称/文字 这将是在Verilog测试台上创建通用信号检查功能的有用功能 我知道%m将在使用$display()时打印信号的范围。是否有显示信号名称的等效程序?在Verilog中,唯一的方法是使用PLI/VPI编写C代码 在SystemVerilog中,可以使用引用宏 `define QUOTE(q) `"q`" `define DISPLAY(me) $display("Signal %s is %h",`QUOTE(me), me) `DISPLA

是否可以在Verilog中引用/显示信号的名称/文字

这将是在Verilog测试台上创建通用信号检查功能的有用功能


我知道%m将在使用$display()时打印信号的范围。是否有显示信号名称的等效程序?

在Verilog中,唯一的方法是使用PLI/VPI编写C代码

在SystemVerilog中,可以使用引用宏

`define QUOTE(q) `"q`"
`define DISPLAY(me) $display("Signal %s is %h",`QUOTE(me), me)

`DISPLAY(my_signal);

在Verilog中,唯一的方法是使用PLI/VPI编写C代码

在SystemVerilog中,可以使用引用宏

`define QUOTE(q) `"q`"
`define DISPLAY(me) $display("Signal %s is %h",`QUOTE(me), me)

`DISPLAY(my_signal);