我如何才能使iverilog相信vpi是一个系统功能而不是一项任务

我如何才能使iverilog相信vpi是一个系统功能而不是一项任务,verilog,icarus,Verilog,Icarus,我试图在iverilog中使用一个vpi函数,在调用该函数后,该函数将向verilog测试台返回一个值。它编译为ok,但在运行时返回以下内容 Error: $flash_dat() is a system task, it cannot be called as a function. 我已将代码的相关部分放在下面。如果我能得到一个示例,其中包括使用vpi函数的编译和运行过程,该函数在iverilog中返回一个值(我在google中搜索过,但没有得到任何iverilog示例),或者得到一个指向

我试图在iverilog中使用一个vpi函数,在调用该函数后,该函数将向verilog测试台返回一个值。它编译为ok,但在运行时返回以下内容

Error: $flash_dat() is a system task, it cannot be called as a function.
我已将代码的相关部分放在下面。如果我能得到一个示例,其中包括使用vpi函数的编译和运行过程,该函数在iverilog中返回一个值(我在google中搜索过,但没有得到任何iverilog示例),或者得到一个指向我在这段代码中犯的错误的指针,我将不胜感激。提前感谢您抽出时间

谢谢, 维奈

代码: verilog

闪光数据c

 void flash_dat_register()
 {
  s_vpi_systf_data tf_data;
  tf_data.type      = vpiSysTask;
  tf_data.tfname    = "$flash_dat";
  tf_data.calltf    = flash_dat_calltf;
  tf_data.compiletf = flash_dat_compiletf;
  tf_data.sizetf    = 0;
  tf_data.user_data = 0;
  vpi_register_systf(&tf_data);
}

void (*vlog_startup_routines[])() = {
flash_dat_register,
0
};

static unsigned int flash_dat_calltf()
{
unsigned int addr= tf_getp(1);
tf_putp (0,((flash_array[addr+1]<<16)|flash_array[addr]));
return ((flash_array[addr+1]<<16)|flash_array[addr]);
}

我认为Icarus Verilog不支持这一点。如果您看一下这些测试,它们是系统任务,而不是功能


您应该能够通过作为任务调用重新编写并从VPI驱动输入/输出网络来实现所需。看看,答案就在我眼前 我需要换衣服

tf_data.type      = vpiSysTask;


谢谢你的帮助

这些都是很好的建议。我需要操作verilog中的寄存器。但我刚刚找到了帮助我解决问题的解决方案,使tf_data.type=vpiSysTask;对vpiSysFunc来说,似乎解决了这个问题
 void flash_dat_register()
 {
  s_vpi_systf_data tf_data;
  tf_data.type      = vpiSysTask;
  tf_data.tfname    = "$flash_dat";
  tf_data.calltf    = flash_dat_calltf;
  tf_data.compiletf = flash_dat_compiletf;
  tf_data.sizetf    = 0;
  tf_data.user_data = 0;
  vpi_register_systf(&tf_data);
}

void (*vlog_startup_routines[])() = {
flash_dat_register,
0
};

static unsigned int flash_dat_calltf()
{
unsigned int addr= tf_getp(1);
tf_putp (0,((flash_array[addr+1]<<16)|flash_array[addr]));
return ((flash_array[addr+1]<<16)|flash_array[addr]);
}
SOURCES=tb_norflash16.v flash_dat.sft $(wildcard ../rtl/*.v)

all: tb_norflash16 flash_dat.vpi

isim: 
vvp -M. -mflash_dat tb_norflash16

tb_norflash16: $(SOURCES)
iverilog -o tb_norflash16 $(SOURCES)

flash_dat.vpi: flash_dat.c flash_dat.sft
iverilog-vpi $^
tf_data.type      = vpiSysTask;
tf_data.type      = vpiSysFunc;