Verilog 搭载到UVM错误

Verilog 搭载到UVM错误,verilog,system-verilog,uvm,Verilog,System Verilog,Uvm,有没有一种简单的方法可以在不操纵UVM库的情况下将自定义函数背驮到UVM\u ERROR宏中?(即,每当在环境中的任何位置调用UVM错误时,我希望我的函数随它一起调用。)我自己还没有尝试过这一方法,但是uvm\u报告\u catcher看起来就像你想要的那样 这是一个回调,您可以在uvm报告(如uvm_错误)即将发布时实现,并且在报告之前调用您的函数 此处提供了示例,第4.9.3节:根据您的需要,uvm_report_catcher类是最佳选项 以下是您可以使用的示例 class my_err

有没有一种简单的方法可以在不操纵UVM库的情况下将自定义函数背驮到
UVM\u ERROR
宏中?(即,每当在环境中的任何位置调用UVM错误时,我希望我的函数随它一起调用。)

我自己还没有尝试过这一方法,但是
uvm\u报告\u catcher
看起来就像你想要的那样

这是一个回调,您可以在uvm报告(如uvm_错误)即将发布时实现,并且在报告之前调用您的函数


此处提供了示例,第4.9.3节:

根据您的需要,uvm_report_catcher类是最佳选项

以下是您可以使用的示例

 class my_error_demoter extends uvm_report_catcher;
   function new(string name="my_error_demoter");
     super.new(name);
   endfunction

  function action_e catch();
    if(get_severity() == UVM_ERROR) begin
       ... your task () ; ...        
    end
    return THROW;
  endfunction
endclass
希望这能解决你的问题