Basys2 FPGA板上的VHDL简单七段显示

Basys2 FPGA板上的VHDL简单七段显示,vhdl,xilinx,xilinx-ise,seven-segment-display,Vhdl,Xilinx,Xilinx Ise,Seven Segment Display,因此,我对FPGA世界是新手,对VHDL语言也是新手。我试图点亮我的七段显示器,但我总是遇到一个错误。当开关打开时,下面的代码仅用于在七段上显示数字1,当您翻转开关时,LED亮起 IEEE库; 使用IEEE.STD_LOGIC_1164.ALL; 实体二进制CNT是 端口(时钟:在标准逻辑中; 开关:标准逻辑向量(7到0); LED:输出标准逻辑向量(7到0); 段:输出标准逻辑向量(7到0); 阳极:输出标准逻辑向量(3到0); 末端二元CNT; binarycnt的体系结构是 开始 LED您

因此,我对FPGA世界是新手,对VHDL语言也是新手。我试图点亮我的七段显示器,但我总是遇到一个错误。当开关打开时,下面的代码仅用于在七段上显示数字1,当您翻转开关时,LED亮起

IEEE库;
使用IEEE.STD_LOGIC_1164.ALL;
实体二进制CNT是
端口(时钟:在标准逻辑中;
开关:标准逻辑向量(7到0);
LED:输出标准逻辑向量(7到0);
段:输出标准逻辑向量(7到0);
阳极:输出标准逻辑向量(3到0);
末端二元CNT;
binarycnt的体系结构是
开始

LED您的错误消息是,您的合成器不知道如何设计与代码相同的电路。这就是合成器应该做的——设计一个与代码完全相同的电路

你期待什么赛道?你能画出来吗?你想过吗?当您使用HDL设计硬件时,您应该知道您希望合成的硬件。不完全是什么硬件,但大致上

你模拟过这个代码吗?它表现得像你希望的那样吗?在你合成之前一定要进行模拟


一旦你知道你想要合成什么硬件,你就可以相应地编写你的(V)HDL了。VHDL应该符合少数模板之一。还有更多信息。

你能给我们一些不起作用的代码吗?产生错误的代码。当我尝试更换
LED时@Fuzzyy段在你的顺序过程中也会受到影响。你不能在两个并发进程中影响同一个信号。那么我怎样才能做到这一点呢?我只想用开关点亮七段显示器,就像一个开关只点亮七段显示器上的一段一样。我希望有一个电路将我的开关连接到我的电路板上的七段显示器上。当我更换
led“并且我没有模拟我的代码”时,合成器上会出现一个十字标记。总是,总是模拟你的代码。我在HDL方面有30多年的经验,即使是很小的HDL,我也会模拟。太容易出错了。@Fuzzyy但是什么电路呢?例如,它会包含触发器吗?还是不?不,里面没有人字拖。我只是想知道如何只用开关来点亮七段,这对我来说是一个学习的步骤。