是否可以使用Quartus在VHDL代码中调用Verilog函数

是否可以使用Quartus在VHDL代码中调用Verilog函数,vhdl,verilog,quartus,Vhdl,Verilog,Quartus,我目前正在审查两种类型的代码(VHDL和Verilog)。 我试图使用Quartus将Verilog中的一些函数合并到VHDL代码中。 有可能直接在夸特斯做吗? 或者任何推荐的免费Verilog2VHDL转换器?无法直接从VHDL调用Verilog函数 您需要做的是将函数包装在模块中,以便在输入更改时调用该函数,并对输出进行赋值 module wrap_function(input arg1,arg2, output arg3); function Vfunction(input arg1,

我目前正在审查两种类型的代码(VHDL和Verilog)。 我试图使用Quartus将Verilog中的一些函数合并到VHDL代码中。 有可能直接在夸特斯做吗?
或者任何推荐的免费Verilog2VHDL转换器?

无法直接从VHDL调用Verilog函数

您需要做的是将函数包装在模块中,以便在输入更改时调用该函数,并对输出进行赋值

module wrap_function(input arg1,arg2, output arg3);

function Vfunction(input arg1, arg2);
   begin
   // whatever 
   Vfunction = ...;
   end
endfunction

assign arg3 = Vfunciton(arg1,arg2);
endmodule
然后,您可以在类似的VHDL实体包装器中实例化该模块,该包装器具有修改输入和捕获输出的过程