Vhdl 如何在程序中执行IF循环

Vhdl 如何在程序中执行IF循环,vhdl,Vhdl,我正在编写一个执行以下操作的程序: 用途:在屏幕上移动图像 输入:8 x 8图像 命令:00-向右移位;01-向左移动;10-向上移动;11-向下滚动 由于我是VHDL新手,我需要一些帮助 图书馆ieee; 使用ieee.std_logic_1164.all; 使用ieee.numeric_std.all; 实体imagem是 端口A、B、C、D、E、F、G、H:在无符号7中降到0; SEL:在未签名的1中降到0; OTA、OTB、OTC、OTD、OTE、OTF、OTG、OTH:out unsi

我正在编写一个执行以下操作的程序:

用途:在屏幕上移动图像

输入:8 x 8图像

命令:00-向右移位;01-向左移动;10-向上移动;11-向下滚动

由于我是VHDL新手,我需要一些帮助

图书馆ieee; 使用ieee.std_logic_1164.all; 使用ieee.numeric_std.all; 实体imagem是 端口A、B、C、D、E、F、G、H:在无符号7中降到0; SEL:在未签名的1中降到0; OTA、OTB、OTC、OTD、OTE、OTF、OTG、OTH:out unsigned7降到0; 末次成像; imagem的架构img是 开始 如果SEL=00,则
OTA要使IF语句工作,请将其放入进程中

图书馆ieee; 使用ieee.std_logic_1164.all; 使用ieee.numeric_std.all; 实体imagem是 端口A、B、C、D、E、F、G、H:在无符号7中降到0; SEL:在未签名的1中降到0; OTA、OTB、OTC、OTD、OTE、OTF、OTG、OTH:out unsigned7降到0; 末次成像; imagem的架构img是 开始 过程选择 开始 如果SEL=00,则
if语句是一个顺序语句。参见IEEE标准1076-2008 10。顺序语句,10.1一般部分顺序语句用于定义子程序或过程执行的算法;它们按照出现的顺序执行。你同学的问题显示了多个流程语句,其中包含导致单独问题的if语句,但也缺少一个。此处没有明显的8x8图像被操纵。if语句必须进入流程内部。流程的灵敏度列表缺少从a到H评估的输入信号。模拟将不匹配用组合时钟模拟时序逻辑的综合硬件。类型unsigned表示无符号算术值IEEE Std 1076-2008 16.8标准合成包,16.8.1.1范围不是一位图像元素像素的数组,A到H似乎表示一个8 x 8数组,而不是使用二维数组。它们不需要在灵敏度列表中,他的问题是关于IF语句的编码。模拟行为与合成硬件不匹配。