Warning: file_get_contents(/data/phpspider/zhask/data//catemap/7/css/32.json): failed to open stream: No such file or directory in /data/phpspider/zhask/libs/function.php on line 167

Warning: Invalid argument supplied for foreach() in /data/phpspider/zhask/libs/tag.function.php on line 1116

Notice: Undefined index: in /data/phpspider/zhask/libs/function.php on line 180

Warning: array_chunk() expects parameter 1 to be array, null given in /data/phpspider/zhask/libs/function.php on line 181
Vhdl Xilinx ISE中的运行后期合成_Vhdl_Simulation_Xilinx Ise - Fatal编程技术网

Vhdl Xilinx ISE中的运行后期合成

Vhdl Xilinx ISE中的运行后期合成,vhdl,simulation,xilinx-ise,Vhdl,Simulation,Xilinx Ise,如何在Xilinx ISE中运行合成后模拟?我在xilinx网站上搜索了很多并看到了1个解决方案,但没有成功应用: 有人能帮我吗?取决于你的软件。没有一款叫做“Xilinx”的软件。Xilinx是一家生产多种不同产品的公司 Xilinx的一些参考文件: 您可以通过选择ISE左上角的simulation radio box生成合成后模拟。选择设计的顶层模型,然后从下面单击“模拟行为模型”。添加图像以供参考: 希望这有帮助 欢迎来到堆栈溢出。在这里,我们可以帮助您解决编码问题。这不是一个

如何在Xilinx ISE中运行合成后模拟?我在xilinx网站上搜索了很多并看到了1个解决方案,但没有成功应用:


有人能帮我吗?

取决于你的软件。没有一款叫做“Xilinx”的软件。Xilinx是一家生产多种不同产品的公司

Xilinx的一些参考文件:


  • 您可以通过选择ISE左上角的simulation radio box生成合成后模拟。选择设计的顶层模型,然后从下面单击“模拟行为模型”。添加图像以供参考:


    希望这有帮助

    欢迎来到堆栈溢出。在这里,我们可以帮助您解决编码问题。这不是一个这样的问题。你的问题的答案是“是”。请参阅如何提出一个好问题。感谢您的关注。我会遵守规则的。哦,我是说锡林克斯。我已经生成了一个后期合成文件,但我不知道如何运行后期合成。我按照上面的链接做了,但无法使它工作。谢谢你的关注。但是,据我所知,这不是合成后的模拟。这是一个不考虑延迟的行为模拟。