Class 如何在VHDL中为端口创建别名?

Class 如何在VHDL中为端口创建别名?,class,port,vhdl,external,alias,Class,Port,Vhdl,External,Alias,我试图在测试台中将别名定义为子实体的端口。 我的语法如下: 别名别名:是:> 我得到的错误是: 为指定的“信号(驱动)”类中指定的对象与所表示对象的“VHDL输入端口”类不一致 我知道我的问题是在“只是为了检查,您正在为VHDL-2008编译,对吗?是的。您认为这可能吗?添加尽可能小的完整VHDL示例来说明问题,并告诉我们您正在使用的工具/版本。我无法给出更具体的示例。您可以考虑”rtl路径“and”.tb.hier.subzier.Block.PortName”和“端口类型”的名称为“port

我试图在测试台中将别名定义为子实体的端口。 我的语法如下:

别名别名:是:>

我得到的错误是:

指定的“信号(驱动)”类中指定的对象与所表示对象的“VHDL输入端口”类不一致


我知道我的问题是在“只是为了检查,您正在为VHDL-2008编译,对吗?是的。您认为这可能吗?添加尽可能小的完整VHDL示例来说明问题,并告诉我们您正在使用的工具/版本。我无法给出更具体的示例。您可以考虑”rtl路径“and”.tb.hier.subzier.Block.PortName”和“端口类型”的名称为“port_A”“属于std_logic_vector类型。只是为了检查一下,您是为VHDL-2008编译的,对吗?是的,我是。你认为可能吗?添加尽可能小的完整VHDL示例来说明问题,并告诉我们您使用的工具/版本。我无法给出更具体的示例。您可以将“rtl路径”和“.tb.hier.subzier.Block.PortName”以及“端口类型”视为std_logic_vector类型的“端口A”。