Warning: file_get_contents(/data/phpspider/zhask/data//catemap/7/elixir/2.json): failed to open stream: No such file or directory in /data/phpspider/zhask/libs/function.php on line 167

Warning: Invalid argument supplied for foreach() in /data/phpspider/zhask/libs/tag.function.php on line 1116

Notice: Undefined index: in /data/phpspider/zhask/libs/function.php on line 180

Warning: array_chunk() expects parameter 1 to be array, null given in /data/phpspider/zhask/libs/function.php on line 181
Generics Xilinx ISE,表达式在泛型映射中不是全局静态的_Generics_Expression_Vhdl_Xilinx - Fatal编程技术网

Generics Xilinx ISE,表达式在泛型映射中不是全局静态的

Generics Xilinx ISE,表达式在泛型映射中不是全局静态的,generics,expression,vhdl,xilinx,Generics,Expression,Vhdl,Xilinx,在Xilinx ISE:通用映射(record1.field1'length)中允许这样做吗 在此之前,我定义了记录: type test_record is record field1 : std_logic_vector(31 downto 0); field2 : std_logic_vector(31 downto 0); end record; constant record1 : test_record := ((others => '0'),(others =&g

在Xilinx ISE:
通用映射(record1.field1'length)
中允许这样做吗

在此之前,我定义了记录:

type test_record is record

 field1 : std_logic_vector(31 downto 0);

 field2 : std_logic_vector(31 downto 0);

end record;

constant record1 : test_record := ((others => '0'),(others => '0'));
我收到以下错误消息:

与泛型关联的实际值(属性名称)必须是全局静态表达式


你没有提供答案,你的问题离题了。这是SO和EESE()之间的区别。如果没有与您的代码不匹配的假设,则无法再现错误,并且可以从您的代码片段中构造一个合法的VHDL示例。请注意,创建MCVE有助于确定问题。Xilinx描述的解决方案是使用ISE 14.7中提供的新解析器。向Xilinx提供了一个测试用例,他们用他们的新解析器演示了它(注意,您既没有提供MCVE,也没有提供有关Xilinx工具版本或目标设备系列的信息)。该答案包含在电气工程堆栈交换问题的答案中。是的,它解决了问题。谢谢。你没有提供答案,你的问题离题了。这是SO和EESE()之间的区别。如果没有与您的代码不匹配的假设,则无法再现错误,并且可以从您的代码片段中构造一个合法的VHDL示例。请注意,创建MCVE有助于确定问题。Xilinx描述的解决方案是使用ISE 14.7中提供的新解析器。向Xilinx提供了一个测试用例,他们用他们的新解析器演示了它(注意,您既没有提供MCVE,也没有提供有关Xilinx工具版本或目标设备系列的信息)。该答案包含在电气工程堆栈交换问题的答案中。是的,它解决了问题。非常感谢。