Random verilog中均匀分布的随机实数

Random verilog中均匀分布的随机实数,random,verilog,distribution,uniform,Random,Verilog,Distribution,Uniform,在verilog中是否有一个函数可以生成[0,1]范围内均匀分布的随机实数。我尝试了$random%2,但它只生成整数值{-1,0,1}。在VHDL中有一个函数uniform(),在verilog中有类似的函数吗?不太可能 $random函数返回一个随机的32位整数。通过一些简单的数学运算,你可以将其转换为实数,并将其映射到-1..1范围内。(模运算符不是正确的方法)但在该范围内不会有“无限”随机数,因为$random只能返回2^32个不同的数 我不确定,但我不认为有任何编程语言支持-1和1之间

在verilog中是否有一个函数可以生成[0,1]范围内均匀分布的随机实数。我尝试了
$random%2
,但它只生成整数值{-1,0,1}。在VHDL中有一个函数
uniform()
,在verilog中有类似的函数吗?

不太可能

$random函数返回一个随机的32位整数。通过一些简单的数学运算,你可以将其转换为实数,并将其映射到-1..1范围内。(模运算符不是正确的方法)但在该范围内不会有“无限”随机数,因为$random只能返回2^32个不同的数

我不确定,但我不认为有任何编程语言支持-1和1之间的无限浮动随机数。据我所知,他们都使用伪随机生成器生成一个整数,然后你必须对它进行操作,得到你想要的随机概率曲线