System verilog verilog变量在双IEEE中的表示

System verilog verilog变量在双IEEE中的表示,system-verilog,System Verilog,我试图检查IEEE 754与任何浮点数的等价物是什么。我试着在modelsim和EDA游乐场上查看。然而,我得到的结果与预期的不同。 e、 g我试图给出一个值a=2e-309 实际数 0000000000000000000000000000000000000000000000000000000000000000 预期数量000000000000000 10111000000101110010010010001111111101011101 我正在尝试做$display(“a=%b”,a) 这是我

我试图检查IEEE 754与任何浮点数的等价物是什么。我试着在modelsim和EDA游乐场上查看。然而,我得到的结果与预期的不同。 e、 g我试图给出一个值a=2e-309

实际数 0000000000000000000000000000000000000000000000000000000000000000

预期数量000000000000000 10111000000101110010010010001111111101011101

我正在尝试做$display(“a=%b”,a)

这是我的代码和测试用例片段

module fp_check
  ( input real a,
   output real c);

  assign c = a/6;

endmodule

module tb_fp_check;
  real a;
  real c;

  initial
    begin
      a = 2e-309;
      #5  $display("a=%b, c=%f", a,c);
    end


  fp_check fp (.a(a), .c(c));
endmodule

您的
$display
正在将实数转换为以二进制显示的整数值。如果要查找表示实数的实际位模式,请使用
$realtobits(a)