verilog如何处理负指数?

verilog如何处理负指数?,verilog,Verilog,verilog如何处理负指数?例如:当M和N都是常量且N大于M时,表达式(2**(M-N))具有负指数。如果我在RTL代码中编写此类代码,会出现什么问题 expresson 2x实现为算术移位操作。负值x表示x向左移动。谢谢您的回答。但请给我一点关于2**x如何解释为算术移位运算的更多细节。我想我们用>来做算术移位运算。我想你是在用这个表达式和另一个数字相乘,或者作为一个定点数字。但作为一个整数表达式本身,负指数将导致0。

verilog如何处理负指数?例如:当M和N都是常量且N大于M时,表达式(2**(M-N))具有负指数。如果我在RTL代码中编写此类代码,会出现什么问题

expresson 2x实现为算术移位操作。负值x表示x向左移动。

谢谢您的回答。但请给我一点关于2**x如何解释为算术移位运算的更多细节。我想我们用>来做算术移位运算。我想你是在用这个表达式和另一个数字相乘,或者作为一个定点数字。但作为一个整数表达式本身,负指数将导致0。