Systemverilog精化阶段信息打印?

Systemverilog精化阶段信息打印?,verilog,system-verilog,elaboration,Verilog,System Verilog,Elaboration,有人知道如何让Systemverilog在精化阶段一次性打印出我想要的东西吗 我知道您可以使用new$error()系统调用在精化阶段打印错误,但我只想在那里打印一次信息(不是错误)。是否可以使用$info()调用,或者是否有其他方法 另外,最好在错误出现之前打印信息。我确实尝试过它不起作用。这是因为信息在初始块中吗?重复帖子抱歉可能您的工具/版本不支持它。它在ModelSim/Questa中适用于我。我没有初始障碍。我使用Synopsys,通常它们很好地跟上进度。这可能是一个命令的事情。。。它

有人知道如何让Systemverilog在精化阶段一次性打印出我想要的东西吗

我知道您可以使用new$error()系统调用在精化阶段打印错误,但我只想在那里打印一次信息(不是错误)。是否可以使用$info()调用,或者是否有其他方法


另外,最好在错误出现之前打印信息。

我确实尝试过它不起作用。这是因为信息在初始块中吗?重复帖子抱歉可能您的工具/版本不支持它。它在ModelSim/Questa中适用于我。我没有初始障碍。我使用Synopsys,通常它们很好地跟上进度。这可能是一个命令的事情。。。它如何知道一个文件中是否出现$error,另一个文件中是否出现$info,以及打印这些文件的顺序?实际上,它在打印时没有初始块和条件。唯一的问题是它将其标记为警告。我确实尝试过它不起作用。这是因为信息在初始块中吗?重复帖子抱歉可能您的工具/版本不支持它。它在ModelSim/Questa中适用于我。我没有初始障碍。我使用Synopsys,通常它们很好地跟上进度。这可能是一个命令的事情。。。它如何知道一个文件中是否出现$error,另一个文件中是否出现$info,以及打印这些文件的顺序?实际上,它在打印时没有初始块和条件。唯一的问题是它将其标记为警告。
module top;

$info("I could have tried this before posting");

endmodule