Ise中的verilog代码

Ise中的verilog代码,verilog,Verilog,我已经在ISE Project Navigator 2013中合成了我的Verilog代码。我的设备是xc3s400-5pq208。现在我想映射代码以分析计时,错误如下: ERROR:Pack:2309 - Too many bonded comps of type "IOB" found to fit this device. ERROR:Pack:18 - The design is too large for the given device and package. Please ch

我已经在ISE Project Navigator 2013中合成了我的Verilog代码。我的设备是xc3s400-5pq208。现在我想映射代码以分析计时,错误如下:

ERROR:Pack:2309 - Too many bonded comps of type "IOB" found to fit this device.
ERROR:Pack:18 - The design is too large for the given device and package. 
Please check the Design Summary section to see which resource requirement for your design exceeds the resources available in the device.
设计总结中的保税IOB数量:使用=177,可用=141,利用率=125%
这个问题的解决方案是什么?我必须更改代码吗

您设计的顶级模块有太多的IOs(绑定的comps类型“IOB”),无法适合所选FPGA


您的设计可能有错误,例如顶层模块错误,或者您的设计只是尝试使用比所选FPGA可用的IOs更多的IOs。

这意味着您的设计比所选的实际FPGA设备有更多的输入和输出。尝试在设备属性窗口中选择不同的FPGA版本

单击此按钮可以更改设备类型

通过改变设备,你可以合成它