Verilog 使用Quartus II将.txt文件加载到FPGA中?

Verilog 使用Quartus II将.txt文件加载到FPGA中?,verilog,fpga,intel-fpga,quartus,Verilog,Fpga,Intel Fpga,Quartus,因此,我是FPGA新手,目前正在使用Altera DE-1板和Quartus II软件,并将其用于硬件项目。所以这里是我的问题,我有一个.txt文件,其中包含0和1的二进制图像数据,将这些数据加载到FPGA上的寄存器以便进一步计算的最佳方法是什么。使用SDRAM?ROM 我目前正在用verilog进行编码,并在前面使用了verilog文件I/O语句,但我在某处读到它显然不能用于合成。那么,对我来说,什么是最好的方法呢。欢迎提出任何建议。谢谢:)使用quartus megawizard创建rom

因此,我是FPGA新手,目前正在使用Altera DE-1板和Quartus II软件,并将其用于硬件项目。所以这里是我的问题,我有一个.txt文件,其中包含0和1的二进制图像数据,将这些数据加载到FPGA上的寄存器以便进一步计算的最佳方法是什么。使用SDRAM?ROM


我目前正在用verilog进行编码,并在前面使用了verilog文件I/O语句,但我在某处读到它显然不能用于合成。那么,对我来说,什么是最好的方法呢。欢迎提出任何建议。谢谢:)

使用quartus megawizard创建rom mega函数。根据图像的大小,您可以选择使用内部块RAM或分布式RAM实现rom。作为ROM mega函数创建的一部分,您将有机会创建MIF(内存初始化文件)

从txt文件创建mif文件,并将该文件指定为ROM的初始化文件。

例如,此处描述了从txt创建mif的方法-

使用quartus mega向导创建rom mega函数。根据图像的大小,您可以选择使用内部块RAM或分布式RAM实现rom。作为ROM mega函数创建的一部分,您将有机会创建MIF(内存初始化文件)

从txt文件创建mif文件,并将该文件指定为ROM的初始化文件。

例如,此处描述了从txt创建mif的方法-

Verilog IO函数不可合成,仅用于模拟。Verilog IO函数不可合成,仅用于模拟。