Verilog 如何停止UVM中的所有运行序列?

Verilog 如何停止UVM中的所有运行序列?,verilog,system-verilog,uvm,Verilog,System Verilog,Uvm,在模拟赛期间,当你得到重置时,有没有办法停止所有运行序列? 是否有办法在模拟过程中的特定时间转储所有运行序列的列表?是。sequencer_h.stop_sequences查看激活的驱动程序需要做什么以及需要注意什么 UVM中没有内置转储所有运行序列的功能,但是如果您使用的是Questa,则有一个调试命令UVM findsequences将为您列出这些序列。是。sequencer_h.stop_sequences查看激活的驱动程序需要做什么以及需要注意什么 UVM没有内置转储所有正在运行的序列的

在模拟赛期间,当你得到重置时,有没有办法停止所有运行序列?
是否有办法在模拟过程中的特定时间转储所有运行序列的列表?

是。sequencer_h.stop_sequences查看激活的驱动程序需要做什么以及需要注意什么


UVM中没有内置转储所有运行序列的功能,但是如果您使用的是Questa,则有一个调试命令UVM findsequences将为您列出这些序列。

是。sequencer_h.stop_sequences查看激活的驱动程序需要做什么以及需要注意什么

UVM没有内置转储所有正在运行的序列的功能,但是如果您使用的是Questa,则会有一个调试命令UVM findsequences为您列出这些序列。

每个序列中都有一个已接收的位\u item\u完成。您可以在停止任何特定序列之前等待该位的posedge。您可以使用sequencer基类中的current_grabber函数来获取序列的句柄,该序列当前具有锁或抓取

停止序列将停止当前加载到序列器上的序列。

每个序列中都有一个接收到的位。您可以在停止任何特定序列之前等待该位的posedge。您可以使用sequencer基类中的current_grabber函数来获取序列的句柄,该序列当前具有锁或抓取

停止序列将停止当前加载到序列器上的序列。

什么是序列器?你是说在所有正在运行的序列器上调用停止序列吗?我无法访问您发布的链接。当我执行sqr.stop_序列时,我会得到这个UVM_致命。你能提供一些关于如何调试的提示吗?错误:已调用项_,但没有未完成的请求。对项目的每次调用必须与上一次调用配对,以获取下一个项目。什么是sequencer?你是说在所有正在运行的序列器上调用停止序列吗?我无法访问您发布的链接。当我执行sqr.stop_序列时,我会得到这个UVM_致命。你能提供一些关于如何调试的提示吗?错误:已调用项_,但没有未完成的请求。对项目的每次调用必须与上一次调用配对,以获取下一个项目。