Verilog 如何使$display消息显示在SimVision控制台中

Verilog 如何使$display消息显示在SimVision控制台中,verilog,cadence,cadence-virtuoso,Verilog,Cadence,Cadence Virtuoso,我必须使用Cadence程序套件来完成Verilog类分配,我想知道为什么我创建的非常简单的模拟测试台中的$display语句不能在SimVision控制台窗口中生成输出 我的工作流程如下: 我创建了一个具有以下功能视图的单元格: module tesbench ( ); initial begin $display("RUNNING TESTBENCH"); $finish; end endmodule 然后,我调用了NC Verilog,初始化并列出了网络,并模拟了

我必须使用Cadence程序套件来完成Verilog类分配,我想知道为什么我创建的非常简单的模拟测试台中的
$display
语句不能在SimVision控制台窗口中生成输出

我的工作流程如下: 我创建了一个具有以下功能视图的单元格:

module tesbench ( );
  initial begin
    $display("RUNNING TESTBENCH");
    $finish;
  end
endmodule
然后,我调用了NC Verilog,初始化并列出了网络,并模拟了 用于打开SimVision控制台窗口的单元格。然后运行模拟将生成以下输出:

ncsim> run
Simulation complete via $finish(1) at time 0 FS + 0
/home/path/to/verilog/file.v:4      $finish;
ncsim> 

因此,
$display
输出不显示。这似乎是一个非常简单的问题,但我一辈子都不知道自己做错了什么。

好吧,我刚刚能和一位老师谈谈,他告诉我了
这是安装中的一个已知问题,目前没有解决方法(程序运行在大学管理的服务器上)。

这并没有解决问题,我对Verilog和Cadence都是新手,所以我不确定这是否是代码的问题,或者我是否没有正确使用程序套件。因此,这对SimVision“适用”。。您使用的是什么版本以及如何调用该工具?我还可以打印消息。您使用的是哪个版本?很抱歉回答得太晚,我使用的是SimVision 14.10-s004。我只需从主Virtuoso窗口通过Tools->NC Verilog启动该工具,然后单击Run、Generate Netlist和Simulate(与选择的所有选项交互)。然后,我在“Console SimVision”窗口中发出“run”命令。老实说,我宁愿在终端上完成所有这些工作,但很难找到这些工具的正确指南。