Verilog Vivado 2014.1:无法在自定义FPGA上启动SPI闪存的.mcs文件

Verilog Vivado 2014.1:无法在自定义FPGA上启动SPI闪存的.mcs文件,verilog,fpga,spi,vivado,Verilog,Fpga,Spi,Vivado,我在Vivado中为3位乘法器编写了一个程序。我正试图将该程序存储在设备的SPI闪存中。生成.mcs文件、选择配置内存、上载.mcs文件、上载位流文件等整个过程似乎都很正常(我没有发现任何错误)。但是当我尝试启动设备时,我得到 错误:[Labtools 27-2254]从配置内存设备引导 不成功 我听说Vivado 2014.1有一个问题,有一个特别的解决办法,但即使这样也不起作用 或者是解决方法的指南 所以我想知道我是否缺少一些东西,比如用TCL命令编写代码或重要信息以创建.mcs文件的方

我在Vivado中为3位乘法器编写了一个程序。我正试图将该程序存储在设备的SPI闪存中。生成.mcs文件、选择配置内存、上载.mcs文件、上载位流文件等整个过程似乎都很正常(我没有发现任何错误)。但是当我尝试启动设备时,我得到

错误:[Labtools 27-2254]从配置内存设备引导 不成功

我听说Vivado 2014.1有一个问题,有一个特别的解决办法,但即使这样也不起作用

或者是解决方法的指南

所以我想知道我是否缺少一些东西,比如用TCL命令编写代码或重要信息以创建.mcs文件的方法

程序版本:Vivado 2014.1


设备:定制FPGA

更新:我得到了在Nexys 4上工作的流程,但从未在特定的定制FPGA上工作过。然而,当使用Vivado 2015.2时,FPGA的闪存能够成功编程。在做了一些实验之后,似乎失败的步骤是SPI闪存设备的实际编程。创建.mcs文件甚至引导该文件似乎都可以正常工作。仍在调查为什么Vivado 2014.1在定制FPGA上无法使用该流程。