在VHDL中,if语句中可以包含多少and和OR有限制吗?

在VHDL中,if语句中可以包含多少and和OR有限制吗?,vhdl,Vhdl,如果我有slv_标志(11到0)和其他标志,那么and和OR的数量是否有限制 If slv_flag(1) = '1' and slv_this(3) = '0' and slv_that(7) = '1' and int_count > 353 then slv_action <= "10101010"; end if; If (slv_flag(1) = '1' and slv_flag(3) = '0' and slv_flag(7) = '1' and slv_

如果我有slv_标志(11到0)和其他标志,那么and和OR的数量是否有限制

If slv_flag(1) = '1' and slv_this(3) = '0' and slv_that(7) = '1' and int_count > 353 then
     slv_action <= "10101010";
end if;


If (slv_flag(1) = '1' and slv_flag(3) = '0' and slv_flag(7) = '1' and slv_flag(12) = '0') or slv_flag(0) = '1' then
         slv_action <= "10101011";
    end if;
如果slv_标志(1)='1'和slv_this(3)='0'和slv_this(7)='1'和int_count>353,则

slv_action语言本身对表达式的复杂性没有限制。条件是提供布尔值的表达式。可能存在基于表达式堆栈大小的实现限制。运算符(iEEE Std 1076-2008 9.2)可以使用子程序(4.5.2运算符重载)实现,调用子程序时会动态详细说明(14.6)。操作数大小和表达式复杂性可能会影响表达式堆栈空间的要求。堆栈空间将在顺序语句边界(包括过程调用的参数表达式)上失效。