Vhdl错误10344不知道该怎么办

Vhdl错误10344不知道该怎么办,vhdl,Vhdl,我试图设置并加载d触发器代码(synch),但它一直给我计数输入d是标准逻辑,所以'0'&d是2位向量。Count是长度为9的std_逻辑_向量,所以不能这样赋值。 我不完全确定你想达到什么目的。如果要将“0”和“d”分配给向量的某个部分,可以编写示例 count(1 downto 0) <= '0' & d 计数(1到0)输入d是标准逻辑,因此'0'&d是2位向量。Count是长度为9的std_逻辑_向量,所以不能这样赋值。 我不完全确定你想达到什么目的。如果要将“0”和“d”

我试图设置并加载d触发器代码(synch),但它一直给我
计数输入d是标准逻辑,所以
'0'&d
是2位向量。Count是长度为9的std_逻辑_向量,所以不能这样赋值。 我不完全确定你想达到什么目的。如果要将“0”和“d”分配给向量的某个部分,可以编写示例

count(1 downto 0) <= '0' & d

计数(1到0)输入d是标准逻辑,因此
'0'&d
是2位向量。Count是长度为9的std_逻辑_向量,所以不能这样赋值。 我不完全确定你想达到什么目的。如果要将“0”和“d”分配给向量的某个部分,可以编写示例

count(1 downto 0) <= '0' & d

count(1到0)错误消息告诉您出了什么问题-在本例中非常准确其中计数(0)分配为d,其他(8到1)分配为“0”。否则你的意图就不清楚了。您打算只加载两个索引位置吗?错误消息会告诉您出了什么问题-在本例中非常准确。聚合,例如
count d,others=>0')其中计数(0)分配为d,其他(8到1)分配为“0”。否则你的意图就不清楚了。您是否打算只加载两个索引位置?