VHDL错误:10028:Can';t解析网络的多个常量驱动程序

VHDL错误:10028:Can';t解析网络的多个常量驱动程序,vhdl,quartus,Vhdl,Quartus,我有这样一个代码: signal IndexA_1 : std_logic_vector(31 downto 0); signal IndexA_2 : std_logic_vector(31 downto 0); type Register_array_type is array (0 to 255) of std_logic_vector(63 downto 0); signal RegArray

我有这样一个代码:

signal      IndexA_1    : std_logic_vector(31 downto 0);
signal      IndexA_2    : std_logic_vector(31 downto 0);

type        Register_array_type     is array (0 to 255) of 
std_logic_vector(63 downto 0);
signal      RegArray                : Register_array_type; 

IndexA_1 <= data_in1;
IndexA_2 <= data_in2;

RegArray(1) <=  x"00000000_0000000b";
RegArray(2) <=  x"00000000_0000000c";
RegArray(3) <=  x"00000000_0000000d";
RegArray(4) <=  x"00000000_0000000e";
RegArray(5) <=  x"00000000_0000000f";

RegArray(to_integer(unsigned(IndexA_1(7 downto 0))))  <= Rx_data_1 ;
RegArray(to_integer(unsigned(IndexA_2(7 downto 0))))  <= Rx_data_2 ;
signal IndexA_1:std_逻辑_向量(31向下到0);
信号索引2:标准逻辑向量(31到0);
类型寄存器\数组\类型为的数组(0到255)
标准逻辑向量(63到0);
信号重新排列:寄存器\阵列\类型;

IndexA_1我没有尝试过,但我会在索引中添加5的偏移量:
RegArray(5+…
。然后从IndexA_1和IndexA_2中减去5。最长的静态前缀(IEEE Std 1076-2008 8.名称8.1第7段。通过转换非静态值片段创建的未命名整数值索引重新排列与静态索引分配具有重叠范围。这些分配位于单独的进程中,并且您有多个驱动程序。将所有分配移到同一进程中或创建整数变量具有范围约束的非静态范围的es/信号。提供一个。该问题应在模拟中显示,读取时带有“X”的静态索引值。该错误可能与现有的许多问题重复。是的,我知道错误在哪里。只需询问是否有智能编码方法来解决此问题。谢谢