Floating point 使用Altera Quartus II将*.vhdl编译到库中

Floating point 使用Altera Quartus II将*.vhdl编译到库中,floating-point,vhdl,fixed-point,intel-fpga,quartus,Floating Point,Vhdl,Fixed Point,Intel Fpga,Quartus,我试图使用“浮点和定点包”作为VHDL中过滤器设计的一部分。我使用Altera Quartus II作为开发环境。我从以下网站下载了文件包:,现在可在 在他们的用户指南中,提到了以下内容: 'fixed_float_types_c.vhdl', 'fixed_pkg_c.vhdl' and 'float_pkg_c.vhdl' should be compiled into a library called "ieee_proposed". 但是,我不知道如何使用Altera Quartus

我试图使用“浮点和定点包”作为VHDL中过滤器设计的一部分。我使用Altera Quartus II作为开发环境。我从以下网站下载了文件包:,现在可在

在他们的用户指南中,提到了以下内容:

'fixed_float_types_c.vhdl', 'fixed_pkg_c.vhdl' and 'float_pkg_c.vhdl'
should be compiled into a library called "ieee_proposed".
但是,我不知道如何使用Altera Quartus II工具将提到的*.vhdl文件编译到库中


任何提示都将不胜感激。

使用Altera Quartus II GUI,您可以添加“fixed\u float\u types\u c.vhdl”, “fixed_pkg_c.vhdl”和“float_pkg_c.vhdl”文件通过 “项目导航器”选项卡称为“文件”。见下图

添加文件时,可以在“属性”下指定目标库。 见下图

您还可以修改项目的Quartus II设置(“.qsf”)文件,以及 添加以下行:

set_global_assignment -name VHDL_FILE fixed_float_types_c.vhdl -library ieee_proposed
set_global_assignment -name VHDL_FILE fixed_pkg_c.vhdl -library ieee_proposed
set_global_assignment -name VHDL_FILE float_pkg_c.vhdl -library ieee_proposed

但是,您可能需要确定是否需要编译到“ieee_proposed”库中,否则您可以将它们与其他文件一起编译到“work”库中。

只需将它们编译到
work
中,就像您在项目中编译任何其他文件一样,并通过
使用work来引用它们。

库名

我上面包含的行直接来自他们的用户指南,所以是的。啊,是的,但它不是作为常规项目编译的,因为它没有常规的VHDL语法,例如“缺少实体”等等。这是一个好主意,但是我如何在不使用“use.all;”之类的东西的情况下包含这些文件呢?我不知道怎么;(嗯,它也不起作用……或者我应该问‘我如何才能将这些文件添加到当前工作库?’哦,在‘使用工作’之前添加一行‘库工作’。‘所有’允许我编译它们而不会出错。现在,我需要测试一下,我是否可以实际使用库函数。谢谢!)