Math 蒙哥马利乘法的VHDL实现

Math 蒙哥马利乘法的VHDL实现,math,cryptography,vhdl,integer-arithmetic,modular-arithmetic,Math,Cryptography,Vhdl,Integer Arithmetic,Modular Arithmetic,在这种情况下,我试图创建一个模块化算术运算: x*y mod n 据我所知,实现它的最快方法是使用蒙哥马利乘法,但我无法理解在其他情况下如何使用VHDL在硬件中实现它 有人能做到这一点吗?或者有任何库可以让我使用它吗?可以在OpenCores项目中找到一个基本的移位和加法模乘 另一个使用蒙哥马利乘法的项目。一个基本的移位加模乘法可以作为开放核心项目的一部分找到 另一个项目是使用蒙哥马利乘法。@DuncanJones感谢您的多次编辑…@owlstead没问题:-)@DuncanJones感谢您的

在这种情况下,我试图创建一个模块化算术运算:

x*y mod n
据我所知,实现它的最快方法是使用蒙哥马利乘法,但我无法理解在其他情况下如何使用VHDL在硬件中实现它


有人能做到这一点吗?或者有任何库可以让我使用它吗?

可以在OpenCores项目中找到一个基本的移位和加法模乘


另一个使用蒙哥马利乘法的项目。

一个基本的移位加模乘法可以作为开放核心项目的一部分找到


另一个项目是使用蒙哥马利乘法。

@DuncanJones感谢您的多次编辑…@owlstead没问题:-)@DuncanJones感谢您的多次编辑…@owlstead没问题:-)谢谢,这是我真正想要的。谢谢,这是我真正想要的。