如何连接到Vivado SDK FPGA串行端口?

如何连接到Vivado SDK FPGA串行端口?,sdk,fpga,xilinx,vivado,Sdk,Fpga,Xilinx,Vivado,为什么一旦我使用Xilinx Vivado的SDK编程FPGA端口,/dev/ttyUSB0就会消失?我的FPGA程序正在按预期运行,但我仍在尝试连接到串行端口,以便读取输出。确保将两条USB电缆连接到FPGA板上的JTAG和UART连接,当然还要将这些电缆连接到计算机 在对电路板编程之前,您可能会发现/dev/ttyUSB0一直存在。您还可能会发现/dev/ttyUSB1在对电路板编程后仍然存在。这就是您希望通过minicom-D/dev/ttyUSB1连接到的设备。我不知道该说什么,但我的X

为什么一旦我使用Xilinx Vivado的SDK编程FPGA端口,/dev/ttyUSB0就会消失?我的FPGA程序正在按预期运行,但我仍在尝试连接到串行端口,以便读取输出。

确保将两条USB电缆连接到FPGA板上的JTAG和UART连接,当然还要将这些电缆连接到计算机


在对电路板编程之前,您可能会发现/dev/ttyUSB0一直存在。您还可能会发现/dev/ttyUSB1在对电路板编程后仍然存在。这就是您希望通过minicom-D/dev/ttyUSB1连接到的设备。

我不知道该说什么,但我的Xilinx董事会在6个月后就出现了这个问题,我曾多次联系Xilinx团队,我准备放弃!!他们从未在电子邮件/论坛/手册中提到两条电缆都应该连接!他们明确要求仅连接JTAG电缆。非常感谢你的帮助。非常感谢!很乐意帮忙: