System verilog DPI-C结构中的动态数组

System verilog DPI-C结构中的动态数组,system-verilog,verification,system-verilog-dpi,System Verilog,Verification,System Verilog Dpi,我想在一个结构中使用一个动态数组,我使用DPI将它传递给C 如何在C端实现它。我尝试在struct内部使用svOpenArrayHandle,但仍然不起作用。 例如: 根据LRM第35.5.6节“形式参数的类型”,结构只能包含该节中列出的类型。动态大小的阵列不是其中之一 传递一个int数组,然后解压成一个合法的C结构将非常容易 根据LRM第35.5.6节形式参数的类型,结构只能包含该节中列出的类型。动态大小的阵列不是其中之一 传递一个int数组,然后解压成一个合法的C结构将非常容易 Struct

我想在一个结构中使用一个动态数组,我使用DPI将它传递给C

如何在C端实现它。我尝试在struct内部使用svOpenArrayHandle,但仍然不起作用。 例如:

根据LRM第35.5.6节“形式参数的类型”,结构只能包含该节中列出的类型。动态大小的阵列不是其中之一

传递一个int数组,然后解压成一个合法的C结构将非常容易

根据LRM第35.5.6节形式参数的类型,结构只能包含该节中列出的类型。动态大小的阵列不是其中之一

传递一个int数组,然后解压成一个合法的C结构将非常容易

Struct a {
    int x;
    int y[];
}