TCl脚本中错误的解决方案是什么?

TCl脚本中错误的解决方案是什么?,tcl,verilog,modelsim,intel-fpga,Tcl,Verilog,Modelsim,Intel Fpga,我最近从altera.com下载了Modelsim 10.1,我收到了“TCL脚本错误”的消息。我无法启动新的verilog项目。 这里是错误 Trace back: can't read "Project(SaveCompileReport)": no such element in array while executing "if { $Project(SaveCompileReport) } { Project::saveCompile

我最近从altera.com下载了Modelsim 10.1,我收到了“TCL脚本错误”的消息。我无法启动新的verilog项目。 这里是错误

Trace back: can't read "Project(SaveCompileReport)": no such element in array while executing "if { $Project(SaveCompileReport) } { Project::saveCompileReport }" (procedure "Project::unload" line 20) invoked from within "Project::unload" (procedure "Project::checkCloseCurrentProject" line 24) invoked from within "Project::checkCloseCurrentProject" (procedure "Project::createDialog" line 18) invoked from within "Project::createDialog" (menu invoke) <2: ::tkerror {can't read "Project(SaveCompileReport)": no such element in array} <1: ::bgerror {can't read "Project(SaveCompileReport)": no such element in array} 回溯:无法读取“Project(SaveCompileReport)”:数组中没有此类元素 执行时 “如果{$Project(SaveCompileReport)}{ 项目::saveCompileReport }" (程序“项目::卸载”第20行) 从内部调用 “项目::卸载” (程序“项目::检查关闭当前项目”第24行) 从内部调用 “项目::checkCloseCurrentProject” (过程“Project::createDialog”第18行) 从内部调用 “项目::createDialog” (菜单调用)
我以前也犯过同样的错误。这可以通过删除您的工作文件夹/which来解决,该删除它试图加载到ModelSim中的项目的项目文件

简单地卸载并再次安装将不起作用


希望这能有所帮助。

你应该在问这个问题。一旦你在那里得到了答案,一定要回到这里并写在这里,这样其他人可以更容易地找到答案。谢谢。除此之外,立即关闭ModelSim,然后打开它,然后启动新项目