如何使用Verilog使7段在数字/十六进制发生变化时闪烁? 开始 柜台

如何使用Verilog使7段在数字/十六进制发生变化时闪烁? 开始 柜台,verilog,fpga,Verilog,Fpga,你用的是什么板?这在这里非常重要。通常,如果您有一个具有多个数字的7段显示器,则会有一些控制信号启用某些数字,另一个信号控制启用数字的哪些段打开 以下是(一个流行的启动板)的情况:有4个数字和4个阳极信号,当驱动低(设置为0)时启用给定的数字。如果要照亮每个数字上的不同部分,请在所有数字之间循环,从每秒60次到每秒1000次不等。有8个段信号,包括小数点信号,当驱动低时,点亮激活数字中的一个段 示例:要在第一个数字上显示“8”,请将第一个阳极信号设置为0,将所有段(小数点除外)设置为0 begi

你用的是什么板?这在这里非常重要。通常,如果您有一个具有多个数字的7段显示器,则会有一些控制信号启用某些数字,另一个信号控制启用数字的哪些段打开

以下是(一个流行的启动板)的情况:有4个数字和4个阳极信号,当驱动低(设置为0)时启用给定的数字。如果要照亮每个数字上的不同部分,请在所有数字之间循环,从每秒60次到每秒1000次不等。有8个段信号,包括小数点信号,当驱动低时,点亮激活数字中的一个段

示例:要在第一个数字上显示“8”,请将第一个阳极信号设置为0,将所有段(小数点除外)设置为0

begin
            counter <= counter + 1; 
            led <= ( counter == 20'b00000000000000000000 ) ? ~led: led;    
end