Verilog USRP2 FPGA调试

Verilog USRP2 FPGA调试,verilog,fpga,gnuradio,usrp,Verilog,Fpga,Gnuradio,Usrp,我在USRP2的FPGA代码(Verilog)中添加了一些功能。我想调试代码。你能建议一下,如何调试FPGA代码吗 我不想为模块编写测试台。我是否可以在FPGA代码中写入缓冲区,然后从固件读取缓冲区,然后从USRP2的串行控制台读取缓冲区 任何提示/指示都会非常有用 谢谢 Kiran您可以用两种不同的方式调试代码,一种是使用设备上可用的调试端口,另一种是使用JTAG端口和ChipScope调试代码 这来自GR站点: 有JTAG端口吗 是的,板上有一个标准JTAG头连接到 FPGA和CPLD,但您

我在USRP2的FPGA代码(Verilog)中添加了一些功能。我想调试代码。你能建议一下,如何调试FPGA代码吗

我不想为模块编写测试台。我是否可以在FPGA代码中写入缓冲区,然后从固件读取缓冲区,然后从USRP2的串行控制台读取缓冲区

任何提示/指示都会非常有用

谢谢
Kiran

您可以用两种不同的方式调试代码,一种是使用设备上可用的调试端口,另一种是使用JTAG端口和ChipScope调试代码

这来自GR站点:

有JTAG端口吗

是的,板上有一个标准JTAG头连接到 FPGA和CPLD,但您可能不需要它。因为FPGA是编程的 从SD卡通过自举CPLD,主实用程序 JTAG端口用于重新编程CPLD。您可以使用JTAG 与ChipScope连接的端口

这是Nick Foster在GR邮件列表上回复的答案:

USRP2(J301)上有一个调试端口(MICTOR连接器),您可以使用它 可以将信号路由到以进行调试。它连接到32位导线 u2_core.v中的“调试”。只需将您感兴趣的信号连接到 调试并使用逻辑分析器

比尔,
Farhad

您可以用两种不同的方式调试代码,一种是使用设备上可用的调试端口,另一种是使用JTAG端口和ChipScope调试代码

这来自GR站点:

有JTAG端口吗

是的,板上有一个标准JTAG头连接到 FPGA和CPLD,但您可能不需要它。因为FPGA是编程的 从SD卡通过自举CPLD,主实用程序 JTAG端口用于重新编程CPLD。您可以使用JTAG 与ChipScope连接的端口

这是Nick Foster在GR邮件列表上回复的答案:

USRP2(J301)上有一个调试端口(MICTOR连接器),您可以使用它 可以将信号路由到以进行调试。它连接到32位导线 u2_core.v中的“调试”。只需将您感兴趣的信号连接到 调试并使用逻辑分析器

比尔,
Farhad

你是说你尝试过在没有任何模拟的情况下使用这种设计吗?为什么你不想编写一个测试台来模拟这种设计?与添加测试点、构建、测试和重复流程相比,模拟通常要少得多。特别是在您第一次启动给定函数时。另外,串行控制台当前提供什么类型的访问?如果可以在HDL设计中读取和写入寄存器,则可以添加自己的调试寄存器,然后使用串行控制台对其进行读取和写入。您还可以将一些信号输出到物理板上的测试点,并用示波器、逻辑分析仪或万用表查看它们是否足够慢。Chipscope是获取内部信号可见性的另一个选项。否。我使用Xilinx库在Simulink中构建了模型,并在Verilog中生成了HDL网表,我正在将其集成到USRP2 FPGA代码中。我在Simulink中做过模拟。你是说你试图在没有任何模拟的情况下使用这个设计吗?为什么你不想编写一个测试台来模拟这个设计?与添加测试点、构建、测试和重复流程相比,模拟通常要少得多。特别是在您第一次启动给定函数时。另外,串行控制台当前提供什么类型的访问?如果可以在HDL设计中读取和写入寄存器,则可以添加自己的调试寄存器,然后使用串行控制台对其进行读取和写入。您还可以将一些信号输出到物理板上的测试点,并用示波器、逻辑分析仪或万用表查看它们是否足够慢。Chipscope是获取内部信号可见性的另一个选项。否。我使用Xilinx库在Simulink中构建了模型,并在Verilog中生成了HDL网表,我正在将其集成到USRP2 FPGA代码中。我已经在Simulink中进行了模拟。