Verilog中的可合成FF,带低电平有效复位

Verilog中的可合成FF,带低电平有效复位,verilog,synthesis,digital,Verilog,Synthesis,Digital,我想合成一个具有正边缘时钟和低电平复位的FF。我编写了以下Verilog代码: module dff_rstL (q,qn,clk,d, clearL); input clk,d, clearL ; output q,qn; reg q; always @(posedge clk or negedge clearL) //asynchronous reset begin if (clearL) begin q <= d;

我想合成一个具有正边缘时钟和低电平复位的FF。我编写了以下Verilog代码:

module dff_rstL (q,qn,clk,d, clearL);
input  clk,d, clearL ;
output q,qn;
reg q;
always @(posedge clk or negedge clearL)            //asynchronous reset
 begin
    if (clearL) begin
        q <= d;             
    end
 else    begin       
     q <= 1'b0;                 
   end         
end
assign  qn=~q;
endmodule 
模块dff_rstL(q、qn、clk、d、clearL);
输入clk、d、clearL;
输出q,qn;
reg q;
始终@(posedge clk或negedge clearL)//异步重置
开始
如果(clearL)开始

q测试逻辑应为~clearL,第一行/条件为复位块

module dff_rstL (q,qn,clk,d, clearL);
input  clk,d, clearL ;
output q,qn;
reg q;
always @(posedge clk or negedge clearL)            //asynchronous reset
 begin
    if (~clearL) begin
     q <= 1'b0;
    end
 else    begin
        q <= d;
   end
end
assign  qn=~q;
endmodule
模块dff_rstL(q、qn、clk、d、clearL);
输入clk、d、clearL;
输出q,qn;
reg q;
始终@(posedge clk或negedge clearL)//异步重置
开始
如果(~clearL)开始

q测试逻辑应为~clearL,第一行/条件为复位块

module dff_rstL (q,qn,clk,d, clearL);
input  clk,d, clearL ;
output q,qn;
reg q;
always @(posedge clk or negedge clearL)            //asynchronous reset
 begin
    if (~clearL) begin
     q <= 1'b0;
    end
 else    begin
        q <= d;
   end
end
assign  qn=~q;
endmodule
模块dff_rstL(q、qn、clk、d、clearL);
输入clk、d、clearL;
输出q,qn;
reg q;
始终@(posedge clk或negedge clearL)//异步重置
开始
如果(~clearL)开始
Q