VHDL按钮&;发光二极管

VHDL按钮&;发光二极管,vhdl,fpga,Vhdl,Fpga,熟悉VHDL,熟悉一切 我让FPGA在按下按钮时打开LED(代码如下),但必须按住按钮才能使LED保持亮起。我希望LED在按下和松开按钮时打开并保持打开(再次按下时关闭),但我不清楚这是如何做到的 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ButtonLED is Port (BUTTON: in STD_LO

熟悉VHDL,熟悉一切

我让FPGA在按下按钮时打开LED(代码如下),但必须按住按钮才能使LED保持亮起。我希望LED在按下和松开按钮时打开并保持打开(再次按下时关闭),但我不清楚这是如何做到的

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 entity ButtonLED is Port (BUTTON: in  STD_LOGIC:='1';
                                    LED : out  STD_LOGIC:='0');
end ButtonLED;

architecture Behavioral of ButtonLED is
begin

LED <= not BUTTON;

end Behavioral;
IEEE库;
使用IEEE.STD_LOGIC_1164.ALL;
使用IEEE.STD_LOGIC_ARITH.ALL;
使用IEEE.STD_LOGIC_UNSIGNED.ALL;
实体按钮是端口(按钮:在标准逻辑中:='1';
LED:输出标准逻辑:='0');
末端扣紧;
ButtonLED的体系结构是
开始
LED(警告:我不是给你答案。我只是回答你关于“如何完成”的问题)


语句
指示您需要一个“一位内存元素”,它通常是一个寄存器。(寻找VHDL和寄存器)注意,要实现完美的操作,您可能需要添加一个计数器进行反弹跳。那么你需要一个柜台用的钟。你是怎么熟悉的?我希望你在学习一些教程。你所问的肯定是这样描述的。