ISE中的VHDL 2008条件代码

ISE中的VHDL 2008条件代码,vhdl,xilinx,Vhdl,Xilinx,我正在尝试合成一个VHDL模块,该模块具有如下所示的sintax: ... adc_0_ram_addr <= address_i when selector="000" else ( others => '0' ); ... 我的项目设置为VHDL20XX而不是'93,所以参考这一点应该可以。 我用谷歌搜索了一下,什么也没找到。 如何正确设置ISE环境 提前感谢我发现了问题:由于和写入权限问题,语言更改未完成。请发布导致错误的完整代码!在正确的上下文中,您发布的行在200X和'9

我正在尝试合成一个VHDL模块,该模块具有如下所示的sintax:

...
adc_0_ram_addr <= address_i when selector="000" else ( others => '0' );
...
我的项目设置为VHDL20XX而不是'93,所以参考这一点应该可以。 我用谷歌搜索了一下,什么也没找到。 如何正确设置ISE环境


提前感谢

我发现了问题:由于和写入权限问题,语言更改未完成。

请发布导致错误的完整代码!在正确的上下文中,您发布的行在200X和'93年与ISE一起工作,没有任何错误。您能解释一下-您是如何修复语言更改的吗?@davidhood2我只是验证了文件夹/文件的权限,并根据我的需要(w+r+x)进行了相应的设置。
ERROR:HDLCompiler:1690 This construct is only supported in VHDL 1076-2008