Vhdl 在许多过程中分配信号

Vhdl 在许多过程中分配信号,vhdl,Vhdl,我试图学习VHDL语言,但我不懂一件奇怪的事情。这是指来自架构的信号 我的问题是:为什么不能在多个进程/并发分配中分配位信号、整数信号等。但我看到,我们可以在多个进程中分配Std_逻辑向量信号和Std_逻辑信号 在详细描述之后,如果出现信号,则为错误 有多个信号源,且不是解析信号 IEEE标准1076-2008 6.4.2.3信号声明 与解析信号相关联的解析函数确定 作为信号采集函数的信号解析值 来自多个来源的输入 4.6解决功能 std_逻辑或std_逻辑_向量(命名为已解析)的解析函数位于s

我试图学习VHDL语言,但我不懂一件奇怪的事情。这是指来自架构的信号

我的问题是:为什么不能在多个进程/并发分配中分配位信号、整数信号等。但我看到,我们可以在多个进程中分配Std_逻辑向量信号和Std_逻辑信号


在详细描述之后,如果出现信号,则为错误 有多个信号源,且不是解析信号

IEEE标准1076-2008 6.4.2.3信号声明

与解析信号相关联的解析函数确定 作为信号采集函数的信号解析值 来自多个来源的输入

4.6解决功能

std_逻辑或std_逻辑_向量(命名为已解析)的解析函数位于std_逻辑_1164包体中

对多个驱动程序的限制可以由合成工具对目标设备进行限制和定义


将@user1155120的评论作为社区wiki的答案

“但我看到,我们可以在多个进程中分配Std_逻辑向量信号和Std_逻辑信号。”请向我们展示您认为正确使用的代码。欢迎使用堆栈溢出。请通读本节,并确保您的问题符合指导原则,因为这将使您在本论坛中获得最成功的答案。“在详细说明后,如果一个信号有多个来源,并且不是已解析的信号,则这是一个错误。”,IEEE Std 1076-2008 6.4.2.3信号声明。“与解析信号相关联的解析函数将信号的解析值确定为来自其多个源的输入集合的函数。”,4.6解析函数。std_逻辑或std_逻辑_向量(命名为已解析)的解析函数位于std_逻辑_1164包体中。对多个驱动程序的限制可以由合成工具对目标设备进行限制和定义。