C++ FPGA开发入门

C++ FPGA开发入门,c++,c,fpga,C++,C,Fpga,我想得到一个FPGA开发板,目标是模拟CD-ROM驱动器。 我的问题是: 有没有允许用C/C++而不是VHDL编写代码的fpga 如果你要推荐一个董事会,你会推荐哪一个 你推荐买哪本书 在继续之前,还有什么我应该知道的吗 虽然直接编写C++代码是没有意义的,但是你可以模仿处理器并将C/C++写入其中。我已经这样做了。我会推荐ALTERA的起步套件,不要为新手买太贵的东西 B) 举个例子,这很好。我用C语言在上面实现了一个dsp Altera有可以实现的NIOS CPU。这不是最容易做的事。要做

我想得到一个FPGA开发板,目标是模拟CD-ROM驱动器。 我的问题是:

  • 有没有允许用C/C++而不是VHDL编写代码的fpga
  • 如果你要推荐一个董事会,你会推荐哪一个
  • 你推荐买哪本书
  • 在继续之前,还有什么我应该知道的吗
  • 虽然直接编写C++代码是没有意义的,但是你可以模仿处理器并将C/C++写入其中。我已经这样做了。我会推荐ALTERA的起步套件,不要为新手买太贵的东西

    B) 举个例子,这很好。我用C语言在上面实现了一个dsp

    Altera有可以实现的NIOS CPU。这不是最容易做的事。要做到这一点,您至少需要基本的VHDL知识

    C) 涵盖基本知识

    D) 你应该知道数字架构。C/C++与VHDL有很大不同,在了解核心组件的工作原理之前,您将无法获得FPGA的真正功能。加法器、ALU、MUX、解复用器、乘法器等是任何数字电路的组成部分。你需要知道如何将它们组合起来,以便并行化你的解决方案并利用硬件。

    < P> A)直接编写C++代码是没有意义的,但是你可以模仿处理器并将C/C++写入其中。我已经这样做了。我会推荐ALTERA的起步套件,不要为新手买太贵的东西

    B) 举个例子,这很好。我用C语言在上面实现了一个dsp

    Altera有可以实现的NIOS CPU。这不是最容易做的事。要做到这一点,您至少需要基本的VHDL知识

    C) 涵盖基本知识

    D) 你应该知道数字架构。C/C++与VHDL有很大不同,在了解核心组件的工作原理之前,您将无法获得FPGA的真正功能。加法器、ALU、MUX、解复用器、乘法器等是任何数字电路的组成部分。您需要知道如何将它们结合起来,以便并行化您的解决方案并利用硬件。

    A)作为一种替代方法,有一些类似C的语言可以编译成VHDL或Verilog。有关详细信息,请参见维基百科

    这种语言的编译器通常为您的特定“程序”生成一个定制的电路设计。例如,如果你想计算a*b+c*d+e*f,你可能会得到一个包含三个乘法器和两个加法器的电路,并且可以在每个周期为不同的(a,b,c,d,e,f)元组运行该计算,有点像a

    我想这取决于你想做什么。对于低级应用,CPU比定制电路慢,但编程肯定更容易

    D) 我同意他的观点。您应该从基础知识开始,熟悉VHDL或点亮一些指示灯(例如,实现一个二进制计数器)。

    a)作为替代方法,有一些类似C的语言可以编译成VHDL或Verilog。有关详细信息,请参见维基百科

    这种语言的编译器通常为您的特定“程序”生成一个定制的电路设计。例如,如果你想计算a*b+c*d+e*f,你可能会得到一个包含三个乘法器和两个加法器的电路,并且可以在每个周期为不同的(a,b,c,d,e,f)元组运行该计算,有点像a

    我想这取决于你想做什么。对于低级应用,CPU比定制电路慢,但编程肯定更容易


    D) 我同意他的观点。您应该从基础开始,使用VHDL或点亮一些指示灯(例如,实现一个二进制计数器)。

    可能的重复可能的重复虽然确实有C到HDL编译器,但我会远离它们,尤其是在开始时。你几乎无法控制编译器将为你生成什么,而使用HDL你可以直接控制。虽然确实有C到HDL的编译器,但我会远离它们,尤其是在开始的时候。您几乎无法控制编译器将为您实际生成什么,而使用HDL则可以直接控制。