Verilog 如何使用ICESTORM工具在Lattice ICE40引脚上使用set LVDS模式

Verilog 如何使用ICESTORM工具在Lattice ICE40引脚上使用set LVDS模式,verilog,yosys,ice40,Verilog,Yosys,Ice40,我有一个Lattice ICE40_8K转接板,我想知道如何将引脚对设置为LVDS模式输入 如果设置为正常单脚,则: SB_IO #(.PIN_TYPE(6'b0000_00)) _io ( .PACKAGE_PIN(pin), .INPUT_CLK(clk), .D_IN_0(rd)); 如何将其修改为LVDS输入引脚,以及如何分配额外的引脚 谢谢 编辑: 会是这样吗 SB_IO #(.PIN_TYPE(6'b0000_00), .IO_S

我有一个Lattice ICE40_8K转接板,我想知道如何将引脚对设置为LVDS模式输入

如果设置为正常单脚,则:

SB_IO #(.PIN_TYPE(6'b0000_00)) _io (
        .PACKAGE_PIN(pin),
        .INPUT_CLK(clk),
        .D_IN_0(rd));
如何将其修改为LVDS输入引脚,以及如何分配额外的引脚

谢谢

编辑:

会是这样吗

  SB_IO #(.PIN_TYPE(6'b0000_00), .IO_STANDARD("SB_LVDS_INPUT")) _io (
        .PACKAGE_PIN(pin),
        .INPUT_CLK(clk),
        .D_IN_0(rd));
我知道LVDS输入仅在第3列,有人能告诉我第3列的pin列表是什么,我可以选择一个


感谢

莱迪思解释了如何使用LVDS输入。从第15页开始有Verilog代码示例。同样的Verilog代码也应该适用于冰暴项目。

谢谢,我已经看到了。我只是想确定Yosys的工具对销钉也有同样的作用。即,如果我选择输入引脚C1,工具是否会自动为我选择C2作为另一个差速器输入引脚?(或反之亦然)@BernardMentink是的。同样的Verilog代码应该与Lattice iCEcube工具和projectice storm一起使用。(然而,正如您所描述的,差分对的处理是由arachne pnr而不是Yosys完成的。Yosys只是将
IO_标准
传递给arachne pnr,arachne pnr完成其余部分。)