Verilog 如何在modelsim中以波形显示文本

Verilog 如何在modelsim中以波形显示文本,verilog,modelsim,waveform,Verilog,Modelsim,Waveform,我有一个信号reg[1:0]BRESP对应于4个字符串值:ok,exokay,slverr,decerr 如何在信号BRESP中的波形中显示这些值?在Modelsim参考手册中为radix define命令设定种子radix define BRESP{2'b00“OK”,2'b01“EXOKAY”}我在模块内部用verilog编写了这个命令,但它给出了错误。

我有一个信号
reg[1:0]BRESP
对应于4个字符串值:
ok
exokay
slverr
decerr


如何在信号
BRESP
中的波形中显示这些值?

在Modelsim参考手册中为
radix define
命令设定种子

radix define BRESP{2'b00“OK”,2'b01“EXOKAY”}我在模块内部用verilog编写了这个命令,但它给出了错误。