VHDL分配文字

VHDL分配文字,vhdl,unsigned-integer,intel-fpga,Vhdl,Unsigned Integer,Intel Fpga,我正在尝试在VHDL中使用具有良好定义的位宽度的无符号整数。VHDL似乎不喜欢我尝试将文字值分配给以下类型: variable LCD_DATA: unsigned(19 downto 0) := 0; 但在我的IDE(Quartus)中,我收到了一个投诉“无符号类型与整数文本不匹配”。我还收到了对向这样定义的类型添加数字的投诉。我需要做的首选更改是什么 unsigned与标准逻辑相关,其中元素的值为“0” variable LCD_DATA: unsigned (19 downto 0) :

我正在尝试在VHDL中使用具有良好定义的位宽度的无符号整数。VHDL似乎不喜欢我尝试将文字值分配给以下类型:

variable LCD_DATA: unsigned(19 downto 0) := 0;

但在我的IDE(Quartus)中,我收到了一个投诉“无符号类型与整数文本不匹配”。我还收到了对向这样定义的类型添加数字的投诉。我需要做的首选更改是什么

unsigned
与标准逻辑相关,其中元素的值为“0”

variable LCD_DATA: unsigned (19 downto 0) := (others => '0');
它为所有元素都设置为“0”的默认分配提供聚合

不能将整数类型的单个元素指定给标准逻辑元素数组

您可以使用包numeric_std中定义的“+”函数将有符号或无符号添加到自然数(无符号)或整数(有符号):

  -- Id: A.5
  function "+" (L: UNSIGNED; R: NATURAL) return UNSIGNED;
  -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0).
  -- Result: Adds an UNSIGNED vector, L, with a non-negative INTEGER, R.

  -- Id: A.6
  function "+" (L: NATURAL; R: UNSIGNED) return UNSIGNED;
  -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0).
  -- Result: Adds a non-negative INTEGER, L, with an UNSIGNED vector, R.

  -- Id: A.7
  function "+" (L: INTEGER; R: SIGNED) return SIGNED;
  -- Result subtype: SIGNED(R'LENGTH-1 downto 0).
  -- Result: Adds an INTEGER, L(may be positive or negative), to a SIGNED
  --         vector, R.

  -- Id: A.8
  function "+" (L: SIGNED; R: INTEGER) return SIGNED;
  -- Result subtype: SIGNED(L'LENGTH-1 downto 0).
  -- Result: Adds a SIGNED vector, L, to an INTEGER, R.
对于问题的第二部分,在添加此类型的编号时

library ieee;
use ieee.std_logic_1164.all;
use IEEE.NUMERIC_STD.ALL;

检查您是否在代码中使用了上述库。

请参阅其他答案,并注意,对于非零文本,您可能需要执行以下操作:

variable LCD_DATA: unsigned(19 downto 0) := to_unsigned(n, 20);

用文字代替n。当然,这也适用于n=0,但它不如
(其他=>'0')

LCD_DATA'LENGTH在分号之后才可用。IEEE标准1076-1993 10.3注释“2-定义直接作用域、隐藏和可见性的规则意味着在其自身声明中引用标识符、字符文字或运算符符号是非法的(设计单位除外)。标识符、字符文字或运算符符号隐藏其直接范围内的外部同形词,即从声明开始。另一方面,标识符、字符文字或运算符符号仅在声明结束后可见(同样,设计单位除外)。“对于-2008,这是第12.3节可见性,同注2。提供的原始错误答案
变量LCD_数据:无符号(19到0):=to_无符号(n,LCD_数据的长度)本质上某些东西只有在声明并且声明为
变量声明::=[共享]变量标识符列表:子类型指示[:=表达式]时才可用,这是分号注释后面的代码的来源。参见IEEE Std 1076-1993 4.3.1.3(6.4.2.4-2008)变量声明。是的,我太习惯于在进程中使用该构造,等等,我忘记了它在声明中是非法的。
variable LCD_DATA: unsigned(19 downto 0) := to_unsigned(n, 20);