Vhdl 整数数组到有符号数组的类型转换

Vhdl 整数数组到有符号数组的类型转换,vhdl,fpga,Vhdl,Fpga,如果我有一个类似的数组定义如下 type A_type is array (0 to 9) of integer; signal my_array : A_type := (0, 1, 2, 3, 4, 5, 6, 7, 8, 9); 如果以后我想转换成代表相同数字的有符号数字列表。 是否有一种方法可以在不必执行for循环的情况下完成类型转换?否。您需要一个for循环或十个单独的语句。最好的方法是编写自己的转换函数,但我想你真的想知道转换是否可以“一次性”完成 在VHDL中有两种转换类型的方法

如果我有一个类似的数组定义如下

type A_type is array (0 to 9) of integer;
signal my_array : A_type := (0, 1, 2, 3, 4, 5, 6, 7, 8, 9);
如果以后我想转换成代表相同数字的有符号数字列表。
是否有一种方法可以在不必执行for循环的情况下完成类型转换?

否。您需要一个
for
循环或十个单独的语句。最好的方法是编写自己的转换函数,但我想你真的想知道转换是否可以“一次性”完成

在VHDL中有两种转换类型的方法。第一个是类型转换:


我的新类型信号那是什么LRM?IEEE标准1076-2008 9.3.6类型转换,第6段-“允许在密切相关的类型之间进行显式类型转换。特别是,类型与自身密切相关。其他类型仅在以下条件下密切相关:-抽象数字类型-任何抽象数字类型都与任何其他抽象数字类型密切相关。-数组类型-两种数组类型密切相关当且仅当类型具有相同维度且元素类型密切相关时,其他类型不密切相关。“几乎没有4页。我记错了。不,大约4页没有一页。这里也不使用转换函数。转换函数(6.5.7关联列表,6.5.7.1)只有一个参数,而
到无符号
(IEEE)或
转换符号
(Synopsys/Mentor)需要两个参数。
my_new_type_signal <= my_new_type(my_old_type_signal);