Warning: file_get_contents(/data/phpspider/zhask/data//catemap/7/user-interface/2.json): failed to open stream: No such file or directory in /data/phpspider/zhask/libs/function.php on line 167

Warning: Invalid argument supplied for foreach() in /data/phpspider/zhask/libs/tag.function.php on line 1116

Notice: Undefined index: in /data/phpspider/zhask/libs/function.php on line 180

Warning: array_chunk() expects parameter 1 to be array, null given in /data/phpspider/zhask/libs/function.php on line 181
错误:Vhdl测试台上的形式标识符未知_Vhdl_Modelsim - Fatal编程技术网

错误:Vhdl测试台上的形式标识符未知

错误:Vhdl测试台上的形式标识符未知,vhdl,modelsim,Vhdl,Modelsim,编译我的测试台时,出现以下错误: “未知的形式标识符”“”。我正在测试的实体的每个输入都会发生这种情况 这是我的密码: entity Scoreboard is port( BTN: in std_logic_vector(3 downto 0); SWITCHES: in std_logic_vector(17 downto 0); CLK_50 : in std_logic; maxreset: in std_logic;

编译我的测试台时,出现以下错误:

“未知的形式标识符””。我正在测试的实体的每个输入都会发生这种情况

这是我的密码:

entity Scoreboard is
    port( BTN: in std_logic_vector(3 downto 0);
        SWITCHES: in std_logic_vector(17 downto 0);
        CLK_50 : in std_logic;
        maxreset: in std_logic;
        Display0: out std_logic_vector(6 downto 0);
        Display1: out std_logic_vector(6 downto 0);
        Display2: out std_logic_vector(6 downto 0);
        Display3: out std_logic_vector(6 downto 0);
        Display4: out std_logic_vector(6 downto 0);
        Display5: out std_logic_vector(6 downto 0);
        Display6: out std_logic_vector(6 downto 0);
        Display7: out std_logic_vector(6 downto 0);
        GREEN: out std_logic_vector(7 downto 0);
        RED: out std_logic_vector(17 downto 0));        

end Scoreboard;
我的测试台:

entity Scoreboard is
end Scoreboard;

architecture Stimulus of Scoreboard is
-- Sinais para ligar as entradas da uut
signal s_BTN: std_logic_vector(3 downto 0);
signal s_SWITCHES: std_logic_vector(17 downto 0);
signal s_CLK_50, s_maxreset: std_logic;

-- Sinal para ligar as saidas da uut
signal s_Display0, s_Display1, s_Display2, s_Display3, s_Display4, s_Display5, s_Display6, s_Display7: std_logic_vector(6 downto 0);
signal s_GREEN: std_logic_vector(7 downto 0);
signal s_RED: std_logic_vector(17 downto 0);


-- Outros 
-- Outros
constant clk_period: time := 20 ns; -- 50MHz

begin
-- Instanciação da UUT --

uut:  entity work.Scoreboard(Shell)
        port map(BTN => s_BTN,
                    SWITCHES => s_SWITCHES,
                    CLK_50 => s_CLK_50,
                    maxreset => s_maxreset,
                    Display0 => s_Display0,
                    Display1 => s_Display1,
                    Display2 => s_Display2,
                    Display3 => s_Display3,
                    Display4 => s_Display4,
                    Display5 => s_Display5,
                    Display6 => s_Display6,
                    Display7 => s_Display7,
                    GREEN => s_GREEN,
                    RED => s_RED);

实体“Scoreboard”不是顶级实体,但其下有许多实体。

您有两个实体的名称为Scoreboard。第二个测试台没有端口接口列表。一旦实体声明:

entity Scoreboard is
end Scoreboard;
分析后,在直接实体实例化语句中不再有要引用的端口接口声明

更改测试台实体的名称(例如记分板)。也在架构声明中