Vhdl 一种算法在FPGA上的实现

Vhdl 一种算法在FPGA上的实现,vhdl,verilog,xilinx,hdl,xilinx-ise,Vhdl,Verilog,Xilinx,Hdl,Xilinx Ise,作为一个课程项目,我必须在FPGA上实现一个算法。目前我正在考虑算术算法和一些想法,比如实现浮点数的4个基本运算符。由于我不熟悉这些话题,如果有人建议一个值得实现的算法,我将不胜感激。你的问题非常模糊,你可以实现无限的算法。不同难度的一些建议: 很容易 音频音量控制 音频回音 从技术上讲,这些在硬件中实现是不“值得”的,但音频内容通常会带来令人印象深刻的现场演示。即使算法很简单 容易的 FIR或IIR滤波器(低通、高通、带通等) 华润 校验和 这些算法一直在硬件上实现。这些都是非常典型的

作为一个课程项目,我必须在FPGA上实现一个算法。目前我正在考虑算术算法和一些想法,比如实现浮点数的4个基本运算符。由于我不熟悉这些话题,如果有人建议一个值得实现的算法,我将不胜感激。

你的问题非常模糊,你可以实现无限的算法。不同难度的一些建议:

很容易
  • 音频音量控制
  • 音频回音
从技术上讲,这些在硬件中实现是不“值得”的,但音频内容通常会带来令人印象深刻的现场演示。即使算法很简单

容易的
  • FIR或IIR滤波器(低通、高通、带通等)
  • 华润
  • 校验和
这些算法一直在硬件上实现。这些都是非常典型的例子。但是仍然很容易实现。 如果您开始使用音频音量控制或回声,您可以稍后添加过滤器,使其更高级一点

中等/硬
  • 各种加密算法,SHA,AES
  • 快速傅里叶变换
  • JPEG压缩
关于浮点算法:除非绝对必要,否则通常不会在FPGA中使用浮点数学。 所有可能与定点数学有关的算法都应该在定点数学中实现。
您也永远不会在FPGA中使用除法,除非您必须这样做。如果可能的话,最好用乘法替换所有除法。

谢谢,尽管投了反对票,但你可以要求澄清:-)我没有投你反对票。我的答案是你想要的吗?当然,谢谢你的回答。我为误解道歉:-)