关于信号微调的vhdl映射错误

关于信号微调的vhdl映射错误,vhdl,Vhdl,我正在用vhdl编写audioloopback的代码,在映射中出现如下错误: 错误:MapLib:979-LUT6符号“Mmux_ac97_sdata_out210”(输出 信号=ac97_sdata_out_OBUF)具有输入信号“Mmux_ac97_sdata_out23” 哪一个 将被修剪。有关原因的详细信息,请参见地图报告文件的第5节 输入信号将变为未驱动。 错误:MapLib:979-LUT6符号“Mmux_ac97_sdata_out210”(输出 signal=ac97_sdata

我正在用vhdl编写audioloopback的代码,在映射中出现如下错误:

错误:MapLib:979-LUT6符号“Mmux_ac97_sdata_out210”(输出 信号=ac97_sdata_out_OBUF)具有输入信号“Mmux_ac97_sdata_out23”
哪一个 将被修剪。有关原因的详细信息,请参见地图报告文件的第5节 输入信号将变为未驱动。
错误:MapLib:979-LUT6符号“Mmux_ac97_sdata_out210”(输出 signal=ac97_sdata_out_OBUF)具有输入信号“Madd_bit_count_lut”,其 将被修剪。有关原因的详细信息,请参见地图报告文件的第5节 输入信号将变为未驱动。
错误:MapLib:979-LUT6符号“Mmux_ac97_sdata_out210”(输出 signal=ac97_sdata_out_OBUF)具有输入信号“Madd_bit_count_lut”,其 将被修剪。有关原因的详细信息,请参见地图报告文件的第5节 输入信号将变为未驱动。
错误:MapLib:979-LUT6符号“Mmux_ac97_sdata_out210”(输出 信号=ac97_sdata_out_OBUF)有输入信号 “位计数[7]\u GND\u 4\u小于9\u o”将被修剪。见本报告第5节 Map报告文件,详细说明输入信号将变为 未驱动。
错误:MapLib:979-LUT6符号“Mmux_ac97_sdata_out210”(输出 信号=ac97_sdata_out_OBUF)具有输入信号“ac97_sync_OBUF”,该信号将 修剪。有关输入原因的详细信息,请参见地图报告文件的第5节 信号将不被驱动。
错误:MapLib:978-LUT6符号“Mmux_ac97_sdata_out210”(输出 signal=ac97_sdata_out_OBUF)有一个使用输入引脚I0的等式,该等式没有 有一个连接的信号。请确保在安装过程中使用的所有针脚 此LUT的方程式具有未修剪的信号(请参阅 映射报告文件,以获取修剪信号的详细信息)。
错误:MapLib:978-LUT6符号“Mmux_ac97_sdata_out210”(输出 signal=ac97_sdata_out_OBUF)有一个使用输入引脚I1的等式,该等式没有 有一个连接的信号。请确保在安装过程中使用的所有针脚 此LUT的方程式具有未修剪的信号(请参阅 映射报告文件,以获取修剪信号的详细信息)。
错误:MapLib:978-LUT6符号“Mmux_ac97_sdata_out210”(输出 signal=ac97_sdata_out_OBUF)有一个使用输入引脚I2的等式,该等式没有 有一个连接的信号。请确保在安装过程中使用的所有针脚 此LUT的方程式具有未修剪的信号(请参阅 映射报告文件,以获取修剪信号的详细信息)。
错误:MapLib:978-LUT6符号“Mmux_ac97_sdata_out210”(输出 signal=ac97_sdata_out_OBUF)有一个使用输入引脚I3的公式,该引脚没有 有一个连接的信号。请确保在安装过程中使用的所有针脚 此LUT的方程式具有未修剪的信号(请参阅 映射报告文件,以获取修剪信号的详细信息)。
错误:MapLib:978-LUT6符号“Mmux_ac97_sdata_out210”(输出 signal=ac97_sdata_out_OBUF)有一个使用输入引脚I5的公式,该引脚没有 有一个连接的信号。请确保在安装过程中使用的所有针脚 此LUT的方程式具有未修剪的信号(请参阅 映射报告文件以获取修剪信号的详细信息)


您的设计中有(至少一个)组合循环。从顶部开始的第三个过程基本上是这样的

process (bit_count, frame_count)
begin
    frame_count <= frame_count + 1;
    bit_count <= bit_count + 1;
end process;
处理(位计数、帧计数)
开始

请编辑并重新格式化问题的最上面部分,使其更具可读性。可能使用引用。虽然比以前有所改进,但仍然显示出对帧计数的实际依赖,帧计数每一位都在增加。仍然没有实际使用ac97_bit_时钟,并且至少其中一些信号应该是变量abc、def、ghi、jkl(因为信号更新发生在当前模拟周期中的所有进程暂停之后)。使计数器成为无符号类型。先进行合成、模拟还为时过早,您的代码不起作用,它只需要1024个ac97位时钟间隔左右。团队努力?你改变了什么?我删除了那个过程,因为我已经在另一个过程中增加了帧计数和比特计数。我也按照你的建议试过了,但映射中的错误并不是这样处理的。你说的“映射中的错误”是什么意思?这些信息基本上是说合成器优化了你的大部分逻辑,因为再也没有价值驱动它了。如果不显示更新的代码,则很难判断。那么,您仍然存在相同的问题:在过程中无条件地递增
位计数
帧计数
NET "clock"          LOC=V10  | IOSTANDARD=LVCMOS33 | CLOCK_DEDICATED_ROUTE=FALSE;
#
# Audio CODEC
#

NET "audio_reset_b"  LOC=K15 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
NET "ac97_sdata_out"  LOC=H18 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
NET "ac97_sdata_in"  LOC=J16 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
NET "ac97_sync"     LOC=K16 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
NET "ac97_bit_clock" LOC=J18 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST  | CLOCK_DEDICATED_ROUTE=FALSE;
process (bit_count, frame_count)
begin
    frame_count <= frame_count + 1;
    bit_count <= bit_count + 1;
end process;