Randomize()函数能否为具有相同约束的4个不同寄存器选择不同的随机值?

Randomize()函数能否为具有相同约束的4个不同寄存器选择不同的随机值?,random,system-verilog,Random,System Verilog,如何确保在调用randomize()时,为所有这些类似的4个寄存器选择不同的值。我希望每次调用randomize()时,它们永远不会得到相同的随机值 constraint range { reg1 inside { [0:31] }; reg2 inside { [0:31] }; reg3 inside { [0:31] }; reg4 inside { [0:31] }; } 添加另一个约束: constraint u { unique {reg1, reg2, reg3, reg4};

如何确保在调用
randomize()
时,为所有这些类似的4个寄存器选择不同的值。我希望每次调用
randomize()
时,它们永远不会得到相同的随机值

constraint range { 
reg1 inside { [0:31] };
reg2 inside { [0:31] };
reg3 inside { [0:31] };
reg4 inside { [0:31] }; }

添加另一个约束:

constraint u { unique {reg1, reg2, reg3, reg4}; }
参考IEEE标准1800-2012第18.5.5节“唯一性约束”