(Verilog)Spartan-6逆变输出LED

(Verilog)Spartan-6逆变输出LED,verilog,fpga,Verilog,Fpga,对Verilog和FPGA来说非常新。我不能把我的脑袋放在输出到斯巴达-6板后面的过程上,我需要一个书面的解释 我所做的只是一个简单的与门,输出到LED module andgate( input a, b, output q ); assign q = a&b; endmodule 输入开关为SW1和SW2(均设置为上拉),输出LED为D1 程序文件在电路板上运行正常,但输出是反向的——当没有按下开关时,LED亮起。我知道这是因为需要上拉电阻器,但我只希望LED在按下两个开关时点

对Verilog和FPGA来说非常新。我不能把我的脑袋放在输出到斯巴达-6板后面的过程上,我需要一个书面的解释

我所做的只是一个简单的与门,输出到LED

module andgate(
input a, b,
output q
);

assign q = a&b;

endmodule
输入开关为SW1和SW2(均设置为上拉),输出LED为D1

程序文件在电路板上运行正常,但输出是反向的——当没有按下开关时,LED亮起。我知道这是因为需要上拉电阻器,但我只希望LED在按下两个开关时点亮

如何重新编写代码或重新配置电路板,以便显示所需的输出

我找到了写作的方法

assign q = ~a&~b

给出了我想要的结果,但是有更好的方法吗

这取决于电路板原理图,您的LED处于高激活状态或低激活状态


从行为上看,您描述的按钮在未按下时被拉起。如果您按下按钮,其中一个输入上会出现一个低信号,因为结果函数上的结果零,led熄灭。

这可能就是您想要的:是的,这就是我看到的。刚开始的时候我很难理解。我知道这是一些基本的东西,我甚至不好意思在这里问这个问题,但我想我现在已经设法理解了,如果我只是在程序开始时反转我的输入,电路板的行为就好像它是高活动的。