Vhdl 端口不匹配错误

Vhdl 端口不匹配错误,vhdl,fpga,mismatch,slave,Vhdl,Fpga,Mismatch,Slave,在编写我正在创建的IP的从AXI4 Lite端口时,我遇到了一个无法解释的问题。我收到一个端口不匹配错误,但我无法理解原因 这是我的从端口代码: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity myMMU_v1_0_S00_AXI is generic ( -- Users to add parameters here -- User paramet

在编写我正在创建的IP的从AXI4 Lite端口时,我遇到了一个无法解释的问题。我收到一个端口不匹配错误,但我无法理解原因

这是我的从端口代码:

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity myMMU_v1_0_S00_AXI is
    generic (
        -- Users to add parameters here

        -- User parameters ends
        -- Do not modify the parameters beyond this line

        -- Width of S_AXI data bus
        C_S_AXI_DATA_WIDTH  : integer   := 32;
        -- Width of S_AXI address bus
        C_S_AXI_ADDR_WIDTH  : integer   := 32
    );
    port (
        -- Users to add ports here
        s_out_port : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); --mmu data tranfer to master
        s_txn_init : out std_logic;

        -- User ports ends
        -- Do not modify the ports beyond this line

        -- Global Clock Signal
        S_AXI_ACLK  : in std_logic;
        -- Global Reset Signal. This Signal is Active LOW
        S_AXI_ARESETN   : in std_logic;
        -- Write address (issued by master, acceped by Slave)
        S_AXI_AWADDR    : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
        -- Write channel Protection type. This signal indicates the
            -- privilege and security level of the transaction, and whether
            -- the transaction is a data access or an instruction access.
        S_AXI_AWPROT    : in std_logic_vector(2 downto 0);
        -- Write address valid. This signal indicates that the master signaling
            -- valid write address and control information.
        S_AXI_AWVALID   : in std_logic;
        -- Write address ready. This signal indicates that the slave is ready
            -- to accept an address and associated control signals.
        S_AXI_AWREADY   : out std_logic;
        -- Write data (issued by master, acceped by Slave) 
        S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
        -- Write strobes. This signal indicates which byte lanes hold
            -- valid data. There is one write strobe bit for each eight
            -- bits of the write data bus.    
        S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
        -- Write valid. This signal indicates that valid write
            -- data and strobes are available.
        S_AXI_WVALID    : in std_logic;
        -- Write ready. This signal indicates that the slave
            -- can accept the write data.
        S_AXI_WREADY    : out std_logic;
        -- Write response. This signal indicates the status
            -- of the write transaction.
        S_AXI_BRESP : out std_logic_vector(1 downto 0);
        -- Write response valid. This signal indicates that the channel
            -- is signaling a valid write response.
        S_AXI_BVALID    : out std_logic;
        -- Response ready. This signal indicates that the master
            -- can accept a write response.
        S_AXI_BREADY    : in std_logic;
        -- Read address (issued by master, acceped by Slave)
        S_AXI_ARADDR    : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
        -- Protection type. This signal indicates the privilege
            -- and security level of the transaction, and whether the
            -- transaction is a data access or an instruction access.
        S_AXI_ARPROT    : in std_logic_vector(2 downto 0);
        -- Read address valid. This signal indicates that the channel
            -- is signaling valid read address and control information.
        S_AXI_ARVALID   : in std_logic;
        -- Read address ready. This signal indicates that the slave is
            -- ready to accept an address and associated control signals.
        S_AXI_ARREADY   : out std_logic;
        -- Read data (issued by slave)
        S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
        -- Read response. This signal indicates the status of the
            -- read transfer.
        S_AXI_RRESP : out std_logic_vector(1 downto 0);
        -- Read valid. This signal indicates that the channel is
            -- signaling the required read data.
        S_AXI_RVALID    : out std_logic;
        -- Read ready. This signal indicates that the master can
            -- accept the read data and response information.
        S_AXI_RREADY    : in std_logic
    );
end myMMU_v1_0_S00_AXI;

architecture arch_imp of myMMU_v1_0_S00_AXI is

    -- AXI4LITE signals
    signal axi_awaddr   : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
    signal axi_awready  : std_logic;
    signal axi_wready   : std_logic;
    signal axi_bresp    : std_logic_vector(1 downto 0);
    signal axi_bvalid   : std_logic;
    signal axi_araddr   : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
    signal axi_arready  : std_logic;
    signal axi_rdata    : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
    signal axi_rresp    : std_logic_vector(1 downto 0);
    signal axi_rvalid   : std_logic;

    -- Example-specific design signals
    -- local parameter for addressing 32 bit / 64 bit C_S_AXI_DATA_WIDTH
    -- ADDR_LSB is used for addressing 32/64 bit registers/memories
    -- ADDR_LSB = 2 for 32 bits (n downto 2)
    -- ADDR_LSB = 3 for 64 bits (n downto 3)
    constant ADDR_LSB  : integer := (C_S_AXI_DATA_WIDTH/32)+ 1;
    constant OPT_MEM_ADDR_BITS : integer := 1;
    ------------------------------------------------
    ---- Signals for user logic register space example
    --------------------------------------------------
    ---- Number of Slave Registers 4
    signal slv_reg0 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
    signal slv_reg1 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
    signal slv_reg2 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
    signal slv_reg3 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
    signal slv_reg_rden : std_logic;
    signal slv_reg_wren : std_logic;
    signal reg_data_out :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
    signal byte_index   : integer;

    --mmu component declaration
    component mmu
    Port (
        virt : in std_logic_vector(31 downto 0);
        phys : out std_logic_vector(31 downto 0);
        clock   : in  std_logic;
        we      : in  std_logic;
        datain  : in  std_logic_vector(15 downto 0)
         );
    end component;
    --mmu component declaration end

begin
    -- I/O Connections assignments

    S_AXI_AWREADY   <= axi_awready;
    S_AXI_WREADY    <= axi_wready;
    S_AXI_BRESP <= axi_bresp;
    S_AXI_BVALID    <= axi_bvalid;
    S_AXI_ARREADY   <= axi_arready;
    S_AXI_RDATA <= axi_rdata;
    S_AXI_RRESP <= axi_rresp;
    S_AXI_RVALID    <= axi_rvalid;
    -- Implement axi_awready generation
    -- axi_awready is asserted for one S_AXI_ACLK clock cycle when both
    -- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is
    -- de-asserted when reset is low.

    process (S_AXI_ACLK)
    begin
      if rising_edge(S_AXI_ACLK) then 
        if S_AXI_ARESETN = '0' then
          axi_awready <= '0';
        else
          if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then
            -- slave is ready to accept write address when
            -- there is a valid write address and write data
            -- on the write address and data bus. This design 
            -- expects no outstanding transactions. 
            axi_awready <= '1';
          else
            axi_awready <= '0';
          end if;
        end if;
      end if;
    end process;

    -- Implement axi_awaddr latching
    -- This process is used to latch the address when both 
    -- S_AXI_AWVALID and S_AXI_WVALID are valid. 

    process (S_AXI_ACLK)
    begin
      if rising_edge(S_AXI_ACLK) then 
        if S_AXI_ARESETN = '0' then
          axi_awaddr <= (others => '0');
        else
          if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then
            -- Write Address latching
            axi_awaddr <= S_AXI_AWADDR;
          end if;
        end if;
      end if;                   
    end process; 

    -- Implement axi_wready generation
    -- axi_wready is asserted for one S_AXI_ACLK clock cycle when both
    -- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is 
    -- de-asserted when reset is low. 

    process (S_AXI_ACLK)
    begin
      if rising_edge(S_AXI_ACLK) then 
        if S_AXI_ARESETN = '0' then
          axi_wready <= '0';
        else
          if (axi_wready = '0' and S_AXI_WVALID = '1' and S_AXI_AWVALID = '1') then
              -- slave is ready to accept write data when 
              -- there is a valid write address and write data
              -- on the write address and data bus. This design 
              -- expects no outstanding transactions.           
              axi_wready <= '1';
          else
            axi_wready <= '0';
          end if;
        end if;
      end if;
    end process; 

    -- Implement memory mapped register select and write logic generation
    -- The write data is accepted and written to memory mapped registers when
    -- axi_awready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. Write strobes are used to
    -- select byte enables of slave registers while writing.
    -- These registers are cleared when reset (active low) is applied.
    -- Slave register write enable is asserted when valid address and data are available
    -- and the slave is ready to accept the write address and write data.
    slv_reg_wren <= axi_wready and S_AXI_WVALID and axi_awready and S_AXI_AWVALID ;

    process (S_AXI_ACLK)
    variable loc_addr :std_logic_vector(OPT_MEM_ADDR_BITS downto 0); 
    begin
      if rising_edge(S_AXI_ACLK) then 
        if S_AXI_ARESETN = '0' then
          slv_reg0 <= (others => '0');
          slv_reg1 <= (others => '0');
          slv_reg2 <= (others => '0');
          slv_reg3 <= (others => '0');
        else
          loc_addr := axi_awaddr(ADDR_LSB + OPT_MEM_ADDR_BITS downto ADDR_LSB);
          if (slv_reg_wren = '1') then
            case loc_addr is
              when b"00" =>
                for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
                  if ( S_AXI_WSTRB(byte_index) = '1' ) then
                    -- Respective byte enables are asserted as per write strobes                   
                    -- slave registor 0
                    slv_reg0(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
                  end if;
                end loop;
              when b"01" =>
                for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
                  if ( S_AXI_WSTRB(byte_index) = '1' ) then
                    -- Respective byte enables are asserted as per write strobes                   
                    -- slave registor 1
                    slv_reg1(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
                  end if;
                end loop;
              when b"10" =>
                for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
                  if ( S_AXI_WSTRB(byte_index) = '1' ) then
                    -- Respective byte enables are asserted as per write strobes                   
                    -- slave registor 2
                    slv_reg2(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
                  end if;
                end loop;
              when b"11" =>
                for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
                  if ( S_AXI_WSTRB(byte_index) = '1' ) then
                    -- Respective byte enables are asserted as per write strobes                   
                    -- slave registor 3
                    slv_reg3(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
                  end if;
                end loop;
              when others =>
                slv_reg0 <= slv_reg0;
                slv_reg1 <= slv_reg1;
                slv_reg2 <= slv_reg2;
                slv_reg3 <= slv_reg3;
            end case;
          end if;
        end if;
      end if;                   
    end process; 

    -- Implement write response logic generation
    -- The write response and response valid signals are asserted by the slave 
    -- when axi_wready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted.  
    -- This marks the acceptance of address and indicates the status of 
    -- write transaction.

    process (S_AXI_ACLK)
    begin
      if rising_edge(S_AXI_ACLK) then 
        if S_AXI_ARESETN = '0' then
          axi_bvalid  <= '0';
          axi_bresp   <= "00"; --need to work more on the responses
        else
          if (axi_awready = '1' and S_AXI_AWVALID = '1' and axi_wready = '1' and S_AXI_WVALID = '1' and axi_bvalid = '0'  ) then
            axi_bvalid <= '1';
            axi_bresp  <= "00"; 
          elsif (S_AXI_BREADY = '1' and axi_bvalid = '1') then   --check if bready is asserted while bvalid is high)
            axi_bvalid <= '0';                                 -- (there is a possibility that bready is always asserted high)
          end if;
        end if;
      end if;                   
    end process; 

    -- Implement axi_arready generation
    -- axi_arready is asserted for one S_AXI_ACLK clock cycle when
    -- S_AXI_ARVALID is asserted. axi_awready is 
    -- de-asserted when reset (active low) is asserted. 
    -- The read address is also latched when S_AXI_ARVALID is 
    -- asserted. axi_araddr is reset to zero on reset assertion.

    process (S_AXI_ACLK)
    begin
      if rising_edge(S_AXI_ACLK) then 
        if S_AXI_ARESETN = '0' then
          axi_arready <= '0';
          axi_araddr  <= (others => '1');
        else
          if (axi_arready = '0' and S_AXI_ARVALID = '1') then
            -- indicates that the slave has acceped the valid read address
            axi_arready <= '1';
            -- Read Address latching 
            axi_araddr  <= S_AXI_ARADDR;           
          else
            axi_arready <= '0';
          end if;
        end if;
      end if;                   
    end process; 

    -- Implement axi_arvalid generation
    -- axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both 
    -- S_AXI_ARVALID and axi_arready are asserted. The slave registers 
    -- data are available on the axi_rdata bus at this instance. The 
    -- assertion of axi_rvalid marks the validity of read data on the 
    -- bus and axi_rresp indicates the status of read transaction.axi_rvalid 
    -- is deasserted on reset (active low). axi_rresp and axi_rdata are 
    -- cleared to zero on reset (active low).  
    process (S_AXI_ACLK)
    begin
      if rising_edge(S_AXI_ACLK) then
        if S_AXI_ARESETN = '0' then
          axi_rvalid <= '0';
          axi_rresp  <= "00";
        else
          if (axi_arready = '1' and S_AXI_ARVALID = '1' and axi_rvalid = '0') then
            -- Valid read data is available at the read data bus
            axi_rvalid <= '1';
            axi_rresp  <= "00"; -- 'OKAY' response
          elsif (axi_rvalid = '1' and S_AXI_RREADY = '1') then
            -- Read data is accepted by the master
            axi_rvalid <= '0';
          end if;            
        end if;
      end if;
    end process;

    -- Implement memory mapped register select and read logic generation
    -- Slave register read enable is asserted when valid address is available
    -- and the slave is ready to accept the read address.
    slv_reg_rden <= axi_arready and S_AXI_ARVALID and (not axi_rvalid) ;

    process (slv_reg0, slv_reg1, slv_reg2, slv_reg3, axi_araddr, S_AXI_ARESETN, slv_reg_rden)
    variable loc_addr :std_logic_vector(OPT_MEM_ADDR_BITS downto 0);
    begin
        -- Address decoding for reading registers
        loc_addr := axi_araddr(ADDR_LSB + OPT_MEM_ADDR_BITS downto ADDR_LSB);
        case loc_addr is
          when b"00" =>
            reg_data_out <= slv_reg0;
          when b"01" =>
            reg_data_out <= slv_reg1;
          when b"10" =>
            reg_data_out <= slv_reg2;
          when b"11" =>
            reg_data_out <= slv_reg3;
          when others =>
            reg_data_out  <= (others => '0');
        end case;
    end process; 

    -- Output register or memory read data
    process( S_AXI_ACLK ) is
    begin
      if (rising_edge (S_AXI_ACLK)) then
        if ( S_AXI_ARESETN = '0' ) then
          axi_rdata  <= (others => '0');
        else
          if (slv_reg_rden = '1') then
            -- When there is a valid read address (S_AXI_ARVALID) with 
            -- acceptance of read address by the slave (axi_arready), 
            -- output the read dada 
            -- Read address mux
              axi_rdata <= reg_data_out;     -- register read data
          end if;   
        end if;
      end if;
    end process;


    -- Add user logic here
    --mmu instantiation
    mmu_0 : mmu
    port map(
        virt  => S_AXI_AWADDR,
        phys  => s_out_port,
        clock => S_AXI_ACLK,
        we    => slv_reg1(16),
        datain=> slv_reg1(15 downto 0)
         );
    --mmu instantiation end
    s_txn_init <=slv_reg2(0);--transacion initilisation
    -- User logic ends

    end arch_imp;
ieee库;
使用ieee.std_logic_1164.all;
使用ieee.numeric_std.all;
实体myMMU_v1_0_S00_AXI是
一般的(
--用户可以在此处添加参数
--用户参数结束
--请勿修改此行以外的参数
--S_AXI数据总线的宽度
C_S_AXI_数据_宽度:整数=32;
--S_AXI地址总线的宽度
C_S_AXI_ADDR_宽度:整数:=32
);
港口(
--用户可以在此处添加端口
s_out_端口:输出标准逻辑向量(C_s_AXI_数据宽度-1向下至0);--mmu数据传输至主机
s_txn_init:输出标准逻辑;
--用户端口结束
--请勿修改此行以外的端口
--全局时钟信号
S_AXI_ACLK:标准逻辑中;
--全局复位信号。该信号为低电平激活
S_AXI_arestn:标准逻辑;
--写入地址(由主设备发出,由从设备接收)
S_AXI_AWADDR:in标准逻辑向量(C_S_AXI_ADDR_WIDTH-1向下至0);
--写入通道保护类型。此信号指示
--事务的特权和安全级别,以及是否
--事务是数据访问或指令访问。
S_AXI_AWPROT:标准逻辑向量(2到0);
--写入地址有效。此信号表示主信令
--有效的写入地址和控制信息。
S_AXI_AWVALID:标准逻辑中;
--写入地址就绪。此信号表示从机就绪
--接受地址和相关控制信号。
S_AXI_AWREADY:输出标准逻辑;
--写入数据(主设备发出,从设备接收)
S_AXI_WDATA:标准逻辑向量(C_S_AXI_DATA_WIDTH-1到0);
--写入选通。该信号指示哪个字节通道有效
--有效数据。每八个数据有一个写选通位
--写入数据总线的位。
S_AXI_WSTRB:标准逻辑向量((C_S_AXI_数据宽度/8)-1到0);
--写入有效。此信号指示有效写入
--数据和频闪可用。
S_AXI_WVALID:在标准逻辑中;
--写准备就绪。此信号表示从机
--可以接受写入数据。
S_AXI_环:输出标准逻辑;
--写入响应。此信号指示状态
--写入事务的类型。
S_AXI_BRESP:out标准逻辑向量(1到0);
--写入响应有效。此信号表示通道
--正在发出有效写入响应的信号。
S_AXI_BVALID:输出标准逻辑;
--响应准备就绪。此信号表示主机
--可以接受写响应。
S_AXI_BREADY:标准逻辑;
--读取地址(由主机发出,由从机接收)
S_AXI_ARADDR:标准逻辑向量(C_S_AXI_ADDR_WIDTH-1向下至0);
--保护类型。此信号指示权限
--以及交易的安全级别,以及
--事务是数据访问或指令访问。
S_AXI_ARPROT:标准逻辑向量(2到0);
--读取地址有效。此信号表示通道
--发出有效读取地址和控制信息的信号。
S_AXI_ARVALID:标准逻辑中;
--读取地址就绪。此信号表示从机已就绪
--准备接受地址和相关控制信号。
S_AXI_ARREADY:输出标准逻辑;
--读取数据(由从机发出)
S_AXI_RDATA:out标准逻辑向量(C_S_AXI_DATA_WIDTH-1向下至0);
--读取响应。此信号指示
--读取传输。
S_AXI_RRESP:out标准逻辑向量(1到0);
--读取有效。此信号表示通道已关闭
--发送所需读取数据的信号。
S_AXI_RVALID:输出标准逻辑;
--读取准备就绪。此信号表示主机可以
--接受读取的数据和响应信息。
S_AXI_RREADY:标准逻辑中
);
结束myMMU_v1_0_S00_AXI;
myMMU v1\u 0\u S00\u AXI的建筑拱门
--AXI4LITE信号
信号axi_awaddr:std_逻辑向量(C_S_axi_ADDR_WIDTH-1向下至0);
信号axi_awready:标准_逻辑;
信号轴环:标准逻辑;
信号axi_bresp:std_逻辑_向量(1到0);
信号axi_bvalid:标准逻辑;
信号axi_araddr:std_逻辑_向量(C_S_axi_ADDR_WIDTH-1向下至0);
信号axi_arready:标准逻辑;
信号axi_rdata:std_逻辑_向量(C_S_axi_数据_宽度-1向下至0);
信号axi_rresp:std_逻辑_向量(1到0);
信号axi_rvalid:标准逻辑;
--特定设计信号示例
--用于寻址32位/64位C_S_AXI_数据宽度的本地参数
--地址LSB用于寻址32/64位寄存器/存储器
--ADDR_LSB=2表示32位(n降到2)
--ADDR_LSB=3表示64位(n降到3)
常量ADDR_LSB:integer:=(C_S_AXI_DATA_WIDTH/32)+1;
常量OPT_MEM_ADDR_位:整数:=1;
------------------------------------------------
----用户逻辑寄存器空间信号示例
--------------------------------------------------
----从寄存器的数量4
信号slv_reg0:std_逻辑_向量(C_S_AXI_数据_宽度-1向下至0);
信号slv_reg1:std_逻辑_向量(C_S_AXI_数据_宽度-1向下至0);
信号slv_reg2:std_逻辑_向量(C_S_AXI_数据_宽度-1向下至0);
信号slv_reg3:std_逻辑_向量(C_S_AXI_数据_宽度-1向下至0);
信号slv_寄存器:标准逻辑;
信号slv_reg_wren:std_逻辑;
信号寄存器数据输出:标准逻辑向量(C_S_AXI_