Vhdl ALTERA中的ALTFP_添加_子功能

Vhdl ALTERA中的ALTFP_添加_子功能,vhdl,Vhdl,我有以下实体调用由Quartus II下的megafunction向导创建的add_sub megafunction: library ieee; use ieee.std_logic_1164.all; library altera_mf; use altera_mf.altera_mf_components.all; entity fp_adder is port(clock : in std_logic; dataa : in STD_LOGIC_VECTOR (

我有以下实体调用由Quartus II下的megafunction向导创建的add_sub megafunction:


library ieee;
use ieee.std_logic_1164.all;

library altera_mf;
use altera_mf.altera_mf_components.all;

entity fp_adder is
  port(clock : in std_logic; 
       dataa : in STD_LOGIC_VECTOR (31 DOWNTO 0);
         datab : in STD_LOGIC_VECTOR (31 DOWNTO 0);
           result : out STD_LOGIC_VECTOR (31 DOWNTO 0));
end fp_adder;

architecture fp_adder_impl of fp_adder is
begin
  add: altfp_add_sub 
    generic map(width_exp   => 8, width_man => 23)
    port map(clock => clock, dataa => dataa, datab => datab, 
        result => result);  
end fp_adder_impl;

代码合成得很好,但是当我启动一个波形来模拟它时,我总是得到一个空的结果输出。有什么我遗漏的吗?

ModelSim Altera预构建库不包含altfp_add_sub的模型,这可能是它无法立即模拟的原因

请看第27/4-4页,其中对altfp_add_sub_ex_msim.zip ModelSim Altera文件的引用可能指向您必须编译以获得altfp_add_sub仿真模型的megafunction文件