Xillinx VHDL代码错误

Xillinx VHDL代码错误,vhdl,Vhdl,我试图写一个vhdl代码,它给了我更多我试图写一个有5个状态(S0,S1,S2,S3,S4)的序列代码 我能做什么 在这一行中 if reset = '1' then prst <='0'; Type of prst is incompatible with type of '0'. if reset = '1' then prst <='0'; if reset = '1' then prst <= S0;

我试图写一个vhdl代码,它给了我更多我试图写一个有5个状态(S0,S1,S2,S3,S4)的序列代码

我能做什么

在这一行中

if reset = '1' then prst <='0';
Type of prst is incompatible with type of '0'.
if reset = '1' then prst <='0';
if reset = '1' then prst <= S0;