Vhdl 使用FPGA的蛇游戏(NEXYS2)

Vhdl 使用FPGA的蛇游戏(NEXYS2),vhdl,fpga,Vhdl,Fpga,我计划在VHDL中使用NEXYS2板制作一个蛇游戏,并将其显示在LED矩阵上,类似于视频中的内容,但我仍然不知道从何开始。我将如何在VHDL中实现这一点?除了设计和连接硬件连接器、LED矩阵等,以及游戏的实际设计规则以及什么输入导致什么输出,您首先将设计约束分解为块,然后以VHDL的形式编写。例如,您可能有一个显示输出组件,它接收像素更改事件并将屏幕状态写入显示器,或者它接收整个屏幕状态,并且只处理显示器的接口逻辑。您可能有一个游戏逻辑组件和一个控制器接口组件 一旦您决定了每个块应该做什么,您就

我计划在VHDL中使用NEXYS2板制作一个蛇游戏,并将其显示在LED矩阵上,类似于视频中的内容,但我仍然不知道从何开始。我将如何在VHDL中实现这一点?

除了设计和连接硬件连接器、LED矩阵等,以及游戏的实际设计规则以及什么输入导致什么输出,您首先将设计约束分解为块,然后以VHDL的形式编写。例如,您可能有一个显示输出组件,它接收像素更改事件并将屏幕状态写入显示器,或者它接收整个屏幕状态,并且只处理显示器的接口逻辑。您可能有一个游戏逻辑组件和一个控制器接口组件

一旦您决定了每个块应该做什么,您就必须决定它将如何使用数据流和控制逻辑来做这件事,您需要先了解VHDL的基本语法和功能

然后,合成器将您的RTL描述转换为触发器和查找表的实现,place&route工具使用您要瞄准的FPGA的实际资源计算出这些单元的放置和连接位置,并生成二进制配置文件

配置文件被加载到FPGA上,假设硬件连接正确,VHDL和设计完美,那么您就有了一个正常工作的snake游戏

很简单,对吧


为了在这里得到有用的答案,您需要进入编写VHDL阶段,编写一些VHDL,看到它无法按照您的约束运行,然后问一个问题。

这甚至不是一个问题